Page 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Page 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D"

Transcript

1 Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D - Versione IVREA - AA D2 - Interfacciamento elettrico e famiglie logiche - stadi di uscita - famiglie logiche 7-Jan-04 - Obiettivi del gruppo di lezioni D Moduli digitali Caratteristiche elettriche di un circuito digitale (alimentazione, tensioni e correnti di ingresso e uscita) Comportamento dinamico dei dispositivi logici (tempi di salita e discesa, tempi di propagazione) Interfaccia tra dispositivi logici di diverso tipo (stadi di uscita, compatibilità, fan-out) Famiglie logiche Interfacciamento tra mondo analogico e digitale Da segnale analogico a digitale (a singolo bit) Comparatori di soglia senza e con isteresi 7-Jan-04-2 Contenuti di questa lezione (D2) Stadi di uscita totem pole collettore aperto three-state Famiglie logiche serie 74 Esempi di interfacciamento verifica di compatibilità statica calcolo resistenza di pull-up Riferimenti nel testo: Jaeger: 7.5/6/8/9; 8.5/6/9; Jan-04-3 Page

2 Circuito di uscita L uscita di un circuito logico binario può essere vista come un deviatore tra V AL e massa. Stato H: tensione di uscita prossima a V AL Stato L: tensione di uscita prossima a GND H L V AL V O GND circuito equivalente semplificato 7-Jan-04-4 Circuito equivalente Totem Pole Il deviatore è realizzato con due interruttori a comando complementare Stato H SW H chiuso, SW L aperto V AL SW H SW L V O Stato L SW L chiuso, SW H aperto GND circuito equivalente semplificato 7-Jan-04-5 Parametri elettrici di uscita Totem Pole Già definiti nella D per una uscita logica: tensioni: V OL, V OH, correnti: I OL, I OH 7-Jan-04-6 Page 2

3 Collegamento tra più uscite Se le due uscite sono in stati opposti, scorre corrente tra Val e GND V AL Le Ro sono basse La corrente può essere anche alta! COLLISIONE V O GND Distruzione del dispositivo per eccessiva dissipazione 7-Jan-04-7 STADI DI USCITA 4 Perché si devono collegare più uscite logiche insieme? Per realizzare funzioni logiche cablate senza usare dispositivi fisici (WIRED - OR logic) Per avere sistemi modulari in cui non è noto a priori il numero di dispositivi logici connessi (ad esempio il numero di schede collegate sul bus di un PC) OCCORRE USARE STADI DI USCITA CHE PERMETTANO LA CONNESSIONE DIRETTA DELLE USCITE 7-Jan-04-8 STADIO DI USCITA THREE-STATE STADI DI USCITA 5 Val Gnd Out Concettualmente è simile al TOTEM- POLE, solo che il deviatore è a tre posizioni, permettendo anche la connessione ad un terzo morsetto non connesso È il cosiddetto TERZO STATO o stato di ALTA IMPEDENZA (Z) in cui il dispositivo NON pilota l uscita ma presenta un impedenza d uscita ELEVATISSIMA (HIGH Z) 7-Jan-04-9 Page 3

4 Circuito equivalente Three-State L uscita a tre stati può essere vista come un deviatore a tre posizioni V AL H V O Z L GND Nella posizione Z lo stato dell uscita dipende dal circuito esterno. V AL SW H SW L GND V O Modello a interruttori con comandi indipendenti 7-Jan-04-0 Altro modello per 3-S Stadio TP + interruttore di abilitazione in serie sull uscita V AL SW L V O GND SW E Questo modello evidenzia il comando di abilitazione o ENABLE SW L comanda lo stato logico dell uscita (se abilitata) SW E abilita/disabilita l uscita 7-Jan-04 - Parametri elettrici di uscita 3-stati Abilitata: come per Totem pole: tensioni: V OL, V OH, correnti: I OL, I OH Non abilitata (HiZ, Open) solo corrente di perdita: I OZ generalmente molto più piccola delle I O stesso ordine di grandezza delle I I 7-Jan-04-2 Page 4

5 Esempio di uscita 3-S Invertitore logico con uscita a tre stati Comando di abilitazione attivo allo stato L Simbolo grafico per uscita 3-S In Out In L OE L Out H OE H L L - H Hi-Z 7-Jan-04-3 Collegamento tra più uscite L uscita 3-S permette di collegare più uscite allo stesso nodo: deve essere abilitato un solo stadio di uscita per volta (un solo segnale OE può essere attivo) ATTENZIONE!!!! Se si abilitano due uscite contemporaneamente si ha lo stesso problema dello stadio totem-pole: collisione In In2 In3 OE OE2 OE3 7-Jan-04-4 Uso delle uscite tre-stati Per usare uscite 3-S occorre un modulo di controllo, che genera le abilitazioni in modo esclusivo (una sola per volta) bisogna sapere a priori quale uscita abilitare Esempi: lettura di memorie o registri multiplexer Non usabile se non è possibile una selezione a priori interrupt In In2 In3 OEi Controllo abilitazioni 7-Jan-04-5 Page 5

6 Uscita Open Collector (Open Drain) In SW d Gnd Out Ou t Lo stadio di uscita è realizzato semplicemente con un interruttore verso una tensione di riferimento (Gnd nell esempio) Se l interruttore è chiuso, Out viene forzato a Gnd; se è aperto lo stadio si comporta come lo stadio three-state in alta impedenza. Non si può avere collisione 7-Jan-04-6 Parametri elettrici di uscita OC Stato basso: come per Totem pole, solo stato basso: tensione: V OL, corrente: I OL Aperta (HiZ, Open) come per tre-stati disabilitata: solo corrente di perdita: I OH molto più piccola delle I O, stesso ordine di grandezza delle I I 7-Jan-04-7 Wired AND con O.C. Per funzionare è necessaria una resistenza (resistenza di Pull-Up) per tirare su la tensione quando lo stadio open-collector non pilota l uscita (SW aperto) Rpu Val SW d Gnd SW d2 Gnd Basta che uno SW sia chiuso perché la linea vada a livello basso Esempio: linee di richiesta di interruzione IRQ 7-Jan-04-8 Page 6

7 Operazioni logiche con O.C. Collegando assieme più uscite OC (con resistenza di pull-up) è possibile realizzare AND tra variabili H» il nodo comune va nello stato H solo se tutte le uscite (operatore AND) sono aperte (Stato H)» WIRED AND OR tra variabili L» il nodo comune va nello stato L quando anche una sola uscita (operatore OR) è chiusa verso massa (Stato L)»WIRED OR Permettono di ottenere porte logiche modulari, in cui è possibile variare il numero di ingressi. 7-Jan-04-9 Interruttori elettronici Un interruttore elettronico ha due stati chiuso ON» modello ideale: corto circuito» modello reale: resistenza Ron Ron aperto OFF» modello ideale: circuito aperto» modello reale: corrente di perdita Ioff (segno non noto) Ioff 7-Jan Modello lineare di stadio di uscita Nell uscita Totem Pole i due interruttori hanno comando complementare H: SW H chiuso/sw L aperto L: SW H aperto/sw L chiuso R OH V AL SW H V O Nell uscita Tre Stati i comandi sono indipendenti (Hi)Z: SW H e SW L aperti Nell uscita Open Collector è presente solo SW L L: SW L chiuso R OL SW L GND 7-Jan-04-2 Page 7

8 STADI DI USCITA STADIO OPEN_COLLECTOR (OPEN_DRAIN) REALE Val Rpu SW d Rol SW d2 Rol Gnd Gnd Per il corretto funzionamento deve essere Rpu >> Rol I valori di Rpu e Rol determinano i ritardi di commutazione τr = Rpu C τf = Rol C 7-Jan STADI DI USCITA 2 STADIO DI USCITA OPEN_COLLECTOR (OPEN-DRAIN) Calcolo della Rpu Val m Rpu 2 In generale ci saranno m driver e n ricevitori (per semplicità supponiamo dello stesso tipo) n 7-Jan STADI DI USCITA 3 Calcolo della Rpu IR m m H : IOH Nessun driver pilota la linea la corrente in Rpu deve sostenere tutte le IOH e le IIH garantendo la VOH Val Rpu n IIH 2 n Nel caso peggiore: IR = (Val VH) / Rpu > m IOH + n IIH (Val min VH) / Rpu max > m IOH + n IIH 7-Jan Page 8

9 Calcolo della Rpu m L : Supponiamo un solo driver che pilota la linea a L la corrente in Rpu e le IIL non devono superare la massima IOL (garantendo così la VOL) IOL IR STADI DI USCITA 4 Val Rpu n IIL IR + n IIL = (Val VIL) / Rpu + n IIL < IOL Nel caso peggiore: (Val max VIL) / Rpu min < IOL - n IIL 2 n 7-Jan STADI DI USCITA 5 Calcolo della Rpu Val Rpu m 2 Si ottiene un intervallo di valori di Rpu validi: Rmin < Rpu < Rmax Che criterio si usa per la scelta? n Piccole R Maggior velocità Grandi R Minor Potenza dissipata 7-Jan CALCOLO DI Rpu Calcolare la Rpu per una linea con 3 driver O.C. e 4 ricevitori con le caratteristiche sotto riportate ( Val = 5 V +- 5%) VOL = 0.5 V VOH = 3.76 V VIL = 0.8V VIH = 3.5 V IOH = 00 µa IOL = 8 ma IIL = - µa IIH = µa Negli O.C. la I OH entra dentro il dispositivo degradando il livello alto!!!!! Nell ipotesi di pilotare una C di 50pF, come risulta il tempo di salita sulla linea? 7-Jan Page 9

10 ESERCIZIO: FAN OUT QUANTE PORTE DI TIPO TTL LS SI POSSONO PILOTARE? LS Val = 5V Rpu KΩ HCT LS VOL = 0.5 V HCT VOL = 0.5 V Rpd 0 KΩ Gnd? (LS) VOH = 2.7 V VOH = 3.76 V VIL = 0.8V VIL = 0.8V VIH = 3.5 V VIH = 2.0 V IOH = µa IOL = 8 ma IIL = ma IIH = 20 µa IOH = - 00 µa IOL = 8 ma IIL = - µa IIH = µa 7-Jan ESERCIZIO: FAN OUT L LS Val = 5V Rpu kω IPU HCT Rpd 0 kω IPD? (LS) LA CORRENTE DISPONIBILE A LIVELLO L VALE: Gnd IOL IPU = (8 4.5) ma = 3.5 ma IPD = VOL / RPD = 0.5V / 0 kω = 50 µa (TRASCURABILE) LA IIL DELLA FAMIGLIA HCT È µa, DUNQUE TRASCURABILE DATO CHE A LIVELLO L LE PORTE LS HANNO BISOGNO DI 400µA FAN-OUT LS0 = 3.5mA /400µA = 8 PORTE A LIVELLO L È VERIFICATA LA COMPATIBILITÀ DELLE TENSIONI 7-Jan ESERCIZIO: FAN OUT H LS Val = 5V Rpu kω IPU HCT Rpd 0 kω LA CORRENTE A LIVELLO ALTO VALE: IPD? (LS) IOH + IPU IPD = (5 2.7)/ (2.7/0) ma Gnd = 2.43 ma SI NOTI CHE LA CORRENTE È MAGGIORE RISPETTO A IOH!! LA IIH DELLA FAMIGLIA HCT È µa, DUNQUE TRASCURABILE DATO CHE A LIVELLO ALTO LE LS PORTE HANNO BISOGNO DI 20 µa FAN-OUT LS = 2.43mA /20µA = 2 PORTE A LIVELLO ALTO È VERIFICATA LA COMPATIBILITÀ DELLE TENSIONI IN DEFINITIVA IL NUMERO DI PORTE DI TIPO LS PILOTABILI È PARI A 8 7-Jan Page 0

11 SEGNALE DIFFERENZIALE ALCUNI DISPOSITIVI (SOLITAMENTE PER ALTA VELOCITÀ) HANNO STADI DI USCITA CHE FORNISCONO OLTRE AL SEGNALE DI USCITA ANCHE IL SUO COMPLEMENTARE. IN QUESTI CASI ANCHE GLI STADI DI INGRESSO RICHIEDONO SEGNALI COMPLEMENTARI PER POTER AGIRE AL MASSIMO DELLA VELOCITÀ LINEA DIFFERENZIALE 7-Jan-04-3 SEGNALE DIFFERENZIALE 2 LA TRASMISSIONE DIFFERENZIALE PRESENTA NOTEVOLI VANTAGGI: MAGGIORE IMMUNITÀ AL RUMORE (CONVERTITO IN MODO COMUNE) MINORE DINAMICA DEI SEGNALI (E QUINDI MAGGIOR VELOCITÀ) 7-Jan Segnali logici differenziali LVDS Low Voltage Differential Signalling 7-Jan Page

12 Contenuti di questa lezione (D2) Stadi di uscita totem pole collettore aperto three-state Famiglie logiche serie 74 Esempi di interfacciamento verifica di compatibilità statica calcolo resistenza di pull-up 7-Jan Famiglie logiche Gli interruttori possono essere realizzati con componenti MOS o bipolari I circuiti logici sono raggruppati in famiglie Famiglie C-MOS (esempi) alta velocita HC avanzata AC bassa tensione LV TTL compatibile HCT ACT BCT LVT Famiglie bipolari (esempi TTL) low power Shottky LS Fast F 7-Jan Differenze tra TTL e C-MOS Corrente di ingresso: praticamente nulla per circuiti MOS e CMOS non nulla e asimmetrica per TTL Stadio di uscita: simmetrico per CMOS asimmetrico per TTL Consumo: prevalentemente dinamico per circuiti CMOS (legato alla frequenza di funzionamento) anche statico per TTL 7-Jan Page 2

13 Componenti della serie 74/54 Componenti SSI MSI (funzioni semplici) Sigle del tipo 74 XX NNN oppure 54 XX NNN 74 XX NNN indica campo di temperatura standard (0-85 C, uso corrente, applicazioni ufficio ) 54 XX NNN indica campo di temperatura esteso ( C, applicazioni per auto e spazio) XX identifica la sottofamiglia (LS, F, C,...) NNN identifica la funzione (OR, NAND, registro, ) 7-Jan Esempi di componenti serie 74 74f00 famiglia TTL fast, quattro porte NAND a due ingressi 54LS04 famiglia TTL-LS, sei invertitori, campo di temperatura esteso 74ACT245 famiglia C-MOS ACT, otto buffer bidirezionali 74F245 come sopra, famiglia Fast 7-Jan Data sheet Caratteristiche elettriche: 74HCxxx 74LSxxx 7-Jan Page 3

14 Esempio: calcolo corrente Io calcolo corrente di uscita con carico a LED verifica di compatibilità 7-Jan Esempio: verifica di compatibilità calcolo corrente di uscita con carico CMOS verifica di compatibilità considearzioni su altri effetti; non è questo il limite altro calcolo con margine di rumore assegnato 7-Jan-04-4 Sommario di questa lezione (D2) Struttura degli stadi di uscita Parametri elettrici degli stadi di uscita Esempi di famiglie logiche 7-Jan Page 4

15 Prerequisiti lezione D3 Lezione D3 Comparatori di soglia Prerequisiti:» A.O. ideali» Caratteristiche elettriche di porte logiche Riferimenti sul testo» Cap Circuiti a retroazione positiva 7-Jan Page 5

Page 1. SisElnE2 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D

Page 1. SisElnE2 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D2 Interfacciamento elettrico e compatibilità» stadi di uscita» compatibilità tra dispositivi logici 3/2/2002

Dettagli

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Page 1. D - Versione IVREA - AA D2 - Interfacciamento elettrico e famiglie logiche

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Page 1. D - Versione IVREA - AA D2 - Interfacciamento elettrico e famiglie logiche Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D - Versione IVREA - AA 2003-04 D2 - Interfacciamento elettrico e famiglie logiche - stadi di uscita - famiglie logiche 7-Jan-04-1 Page 1 Obiettivi

Dettagli

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali Moduli logici Moduli logici Interfacciamento di dispositivi logici Circuiti logici combinatori Circuiti logici sequenziali Registri, contatori e circuiti sequenziali Esempi e misure su circuiti digitali

Dettagli

Page 1 SISTEMI ELETTRONICI. SisElnD2 01/02/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni D.

Page 1 SISTEMI ELETTRONICI. SisElnD2 01/02/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni D. gegneria dell formazione Obiettivi del gruppo di lezioni D Modulo SISTEMI EETTRONICI D - CIRCUITI DIGITAI D - terfacciamento elettrico e famiglie logiche - stadi di uscita - compatibilità - famiglie logiche

Dettagli

D2x - Presentazione della lezione D2. D2a STADI DI USCITA

D2x - Presentazione della lezione D2. D2a STADI DI USCITA D2x - Presentazione della lezione D2 /- Obiettivi! conoscere diverse forme di stadi di uscita di dispositivi logici! saper calcolare resistori di pull-up per open collector! saper eseguire calcoli di fanout!

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche Elettronica II - Dante Del Corso - Gruppo B - 7 n. 1-01/11/97

Dettagli

SisElnE1 13/12/2002. D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici

SisElnE1 13/12/2002. D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici» caratteristiche base di un dispositivo digitale» compatibilità

Dettagli

Page 1. SisElnE1 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Interfacciamento elettrico dei dispositivi. Obiettivi del gruppo di lezioni D

Page 1. SisElnE1 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Interfacciamento elettrico dei dispositivi. Obiettivi del gruppo di lezioni D gegneria dell formazione Obiettivi del gruppo di lezioni D Modulo SISTEMI ELETTRONICI D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici» caratteristiche base di

Dettagli

D - Versione IVREA - AA D1 - Parametri elettrici statici e dinamici

D - Versione IVREA - AA D1 - Parametri elettrici statici e dinamici Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D - Versione IVREA - AA 2003-04 D1 - Parametri elettrici statici e dinamici - parametri elettrici dei circuiti digitali - compatibilità tra famiglie

Dettagli

SisElnM1 08/03/ DDC 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni D. Ingegneria dell Informazione

SisElnM1 08/03/ DDC 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni D. Ingegneria dell Informazione iselnm1 8/3/27 ngegneria dell nformazione Obiettivi del gruppo di lezioni Modulo TEM ELETTRONC - CRCT TAL M1 Transistore MO come interruttore - caratteristiche dei transistori MO - modelli di MO in commutazione

Dettagli

Logica cablata (wired logic)

Logica cablata (wired logic) Logica cablata (wired logic) Cosa succede quando si collegano in parallelo le uscite di più porte appartenenti alla stessa famiglia logica? Si realizza una ulteriore funzione logica tra le uscite Le porte

Dettagli

Porte logiche. Caratteristiche delle porte logiche. Scalamento di tensione. Amplificazione di potenza. Interruttori allo stato solido

Porte logiche. Caratteristiche delle porte logiche. Scalamento di tensione. Amplificazione di potenza. Interruttori allo stato solido Interruttori allo stato solido 1 Caratteristiche delle porte logiche Scalamento di tensione Amplificazione di potenza 2 2003 Politecnico di Torino 1 Caratteristiche delle porte logiche 3 Interfacciamento

Dettagli

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E. Circuiti combinatori. Circuiti sequenziali.

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E. Circuiti combinatori. Circuiti sequenziali. Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E - LUCIDI COMPLEMENTARI SEDE DI IVREA - AA 2002-03 E1 - Circuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi

Dettagli

Ingegneria dell Informazione SISTEMI ELETTRONICI

Ingegneria dell Informazione SISTEMI ELETTRONICI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E - LUCIDI COMPLEMENTARI SEDE DI IVREA - AA 2002-03 E1 - Circuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi

Dettagli

ITS Einaudi Appunti T.D.P. ITS Einaudi ITS EINAUDI. Elettronica e Telecomunicazioni. Tecnologia e Disegno per la Progettazione Elettronica

ITS Einaudi Appunti T.D.P. ITS Einaudi ITS EINAUDI. Elettronica e Telecomunicazioni. Tecnologia e Disegno per la Progettazione Elettronica ITS EINAUDI Elettronica e Telecomunicazioni Tecnologia e Disegno per la Progettazione Elettronica Porte Logiche PORTE LOGICHE - i parametri dei fogli tecnici Valori Massimi Assoluti Vcc max, Vin max, T

Dettagli

SISTEMI ELETTRONICI. SisElnM1 01/02/ DDC 1. Ingegneria dell Informazione. Gruppo D: moduli digitali. Modulo

SISTEMI ELETTRONICI. SisElnM1 01/02/ DDC 1. Ingegneria dell Informazione. Gruppo D: moduli digitali. Modulo iselnm1 1/2/28 ngegneria dell nformazione ruppo : moduli digitali Modulo TEM ELETTRONC - CRCT TAL M1 Transistore MO come interruttore - caratteristiche dei transistori MO - modelli di MO in commutazione

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 9 - B - 5:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 9 - B - 5: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 9 - B - 5: Comportamento dinamico dei circuiti logici Elettronica II - Dante Del Corso - Gruppo B - 7

Dettagli

SISTEMI SISTEMI. D1y - Presentazione del gruppo di lezioni D. impostazione. progettazione. D1y - Presentazione del gruppo di lezioni D.

SISTEMI SISTEMI. D1y - Presentazione del gruppo di lezioni D. impostazione. progettazione. D1y - Presentazione del gruppo di lezioni D. D1y - Presentazione del gruppo di lezioni D 1/5 - Dove siamo? A SISTEMI impostazione B componenti analogici C D E componenti digitali F SISTEMI D1y - Presentazione del gruppo di lezioni D 2/5 - Dove sono

Dettagli

SisElnF1 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori

SisElnF1 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti sequenziali

Dettagli

Sistemi di Elettronica Digitale, Sez.3

Sistemi di Elettronica Digitale, Sez.3 Sistemi di Elettronica Digitale, Sez.3 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. Ingegneria dell Informazione 030-3715627 Lunedì 16:30-18:30 Sistemi di elettronica digitale, A. Flammini,

Dettagli

SisElnF1 12/21/01. F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori

SisElnF1 12/21/01. F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti sequenziali

Dettagli

APPUNTI PORTE LOGICHE - CLASE 3BET

APPUNTI PORTE LOGICHE - CLASE 3BET APPUNTI PORTE LOGICHE - CLASE 3BET Parametri CARATTERISTICHE STATICHE DELLE PORTE LOGICHE NOMENCLATURA: 1) Livelli logici alti Dove Ioh è detta corrente di Source 2) Livelli logici bassi Dove Iol è detta

Dettagli

Cenni sulle famiglie logiche TTL e CMOS

Cenni sulle famiglie logiche TTL e CMOS Cenni sulle famiglie logiche TTL e CMOS Generalità I dispositivi digitali vengono suddivisi in famiglie logiche ciascuna delle quali differisce dalle altre per la tecnologia utilizzata e per il circuito

Dettagli

Page 1. SisElnE1bis 1/10/ DDC 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E

Page 1. SisElnE1bis 1/10/ DDC 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E - LCIDI COMPLEMENTRI SEDE DI IVRE - 2002-03 E1 - Circuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi - consumo

Dettagli

ELETTRONICA II. Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe. Politecnico di Torino

ELETTRONICA II. Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe. Politecnico di Torino ELETTRONICA II Lezioni: Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe Politecnico di Torino Lezioni Gruppo B rev 7 Elettronica II - Dante Del Corso - Gruppo

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte E: Circuiti misti analogici e digitali Lezione n. 19 - E - 1: Comparatori di soglia Comparatori con isteresi Circuiti misti analogici

Dettagli

. Nota: le tensioni dono riferite all'ingresso ed all'uscita dello stesso circuito. G. Martines 1

. Nota: le tensioni dono riferite all'ingresso ed all'uscita dello stesso circuito. G. Martines 1 Invertitore logico (NOT) La caratteristica di trasferimento in tensione (VTC) Per un ingresso logico 0, cioè v I V IL l'uscita logica è 1, cioè v O V OH ; per ingresso 1 cioè v I V IH uscita 0, cioè v

Dettagli

ELETTRONICA II. Prof. Pierluigi Civera - Politecnico di Torino. Gruppo C: Circuiti combinatori e sequenziali Lezione n.

ELETTRONICA II. Prof. Pierluigi Civera - Politecnico di Torino. Gruppo C: Circuiti combinatori e sequenziali Lezione n. ELETTRONICA II Prof. Pierluigi Civera - Politecnico di Torino Gruppo C: Circuiti combinatori e sequenziali Lezione n. 10 - C - 1: Circuiti combinatori reali Gruppo B: Circuiti combinatori e sequenziali

Dettagli

Politecnico di Torino - Facoltà di Ingegneria delll Informazione Sistemi Elettronici

Politecnico di Torino - Facoltà di Ingegneria delll Informazione Sistemi Elettronici Prova scritta del 16/09/02 correzioni tempo: 2 ore Esercizio 1) a) alcolare (V1, V2) per = 0 e per e O2 ideali. b) Tracciare il diagramma di ode di /V1, per il valore indicato di, con e O2 ideali. c) alcolare

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali Moduli logici Moduli logici Interfacciamento di dispositivi logici Parametri statici e dinamici Circuiti logici combinatori Circuiti logici sequenziali Registri, contatori e circuiti sequenziali Esempi

Dettagli

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali.

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali. INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1 1.2) Interruttori ideali e reali. 1 1.3) Condizioni operative statiche del transistore a giunzione. 5

Dettagli

Tecnologie per l'elettronica digitale. Parametri Componenti elettronici Porte a diodi RTL, TTL CMOS

Tecnologie per l'elettronica digitale. Parametri Componenti elettronici Porte a diodi RTL, TTL CMOS Tecnologie per l'elettronica digitale Parametri Componenti elettronici Porte a diodi RTL, TTL CMOS Codifica digitale dell informazione Superare l effetto del rumore Non eliminabile dai circuiti analogici

Dettagli

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr.

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr. Laboratorio II, modulo 2 2016-2017 Elettronica digitale (2 a parte) (cfr. http://physics.ucsd.edu/~tmurphy/phys121/phys121.html) Esempio (reale) di comparatore + V V in + R V out V ref - V out V ref V

Dettagli

Fondamenti di Elettronica, Sez.4

Fondamenti di Elettronica, Sez.4 Fondamenti di Elettronica, Sez.4 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. Ingegneria dell Informazione 030-3715627 Lunedì 16:30-18:30 Fondamenti di elettronica, A. Flammini, AA2018-2019

Dettagli

Esercitazione III Simulazione PSpice dell invertitore CMOS

Esercitazione III Simulazione PSpice dell invertitore CMOS Esercitazione III Simulazione PSpice dell invertitore CMOS Come è noto, nei circuiti CMOS vengono utilizzati sia dispositivi a canale N sia dispositivi a canale P. La principale differenza fra i due tipi

Dettagli

Porte Logiche. Modulo 3

Porte Logiche. Modulo 3 Porte Logiche Modulo 3 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Porte logiche Una porta logica (gate) è un circuito

Dettagli

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4 Esercitazione 4 Caratterizzazione e misure su circuiti digitali 1. Introduzione Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Misurare i parametri elettrici di porte logiche, -

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 7 - B - 3: Esempi di circuiti logici

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 7 - B - 3: Esempi di circuiti logici ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 7 - B - 3: Esempi di circuiti logici Elettronica II - Dante Del Corso - Gruppo B - 7 n. 1-01/11/97 Metodo

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione Ce1: Esercizi su interconnessioni ELETTRONICA APPLICATA E MISURE Dante DEL CORSO Ce1 Esercizi: interconnessioni» Ritardi e skew con modello RC» Linee di trasmissione, riflessioni»

Dettagli

Questa parte tratta le problematiche del pilotaggio low-side di carichi di potenza: Pilotaggio low-side con MOS. Pilotaggio low-side con BJT

Questa parte tratta le problematiche del pilotaggio low-side di carichi di potenza: Pilotaggio low-side con MOS. Pilotaggio low-side con BJT Interruttori allo stato solido 1 Questa parte tratta le problematiche del pilotaggio low-side di carichi di potenza: con MOS con BJT Velocità di commutazione MOS Velocità di commutazione BJT 2 2003 Politecnico

Dettagli

Vout Vo1. Vo0 Vt. Vin. D3x - Presentazione della lezione D3. D3a COMPARATORI SENZA ISTERESI

Vout Vo1. Vo0 Vt. Vin. D3x - Presentazione della lezione D3. D3a COMPARATORI SENZA ISTERESI D3x - Presentazione della lezione D3 1/1- Obiettivi»Passaggio da un segnale analogico ad uno digitale»comparatori di soglia senza isteresi»comparatori di soglia con isteresi (utilizzando AO)»Tensioni di

Dettagli

SisElnE1bis 01/12/ /12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ.

SisElnE1bis 01/12/ /12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ. ngegneria dell nformazione Obiettivi del gruppo di lezioni E Modulo SSTEM ELETTRON E - RT LOG E1 - ircuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi -consumo ircuiti

Dettagli

Elettronica digitale

Elettronica digitale Elettronica digitale Porte logiche a rapporto e a pass transistor Andrea Bevilacqua UNIVERSITÀ DI PADOVA a.a 2008/09 Elettronica digitale p. 1/22 Introduzione In questa lezione analizzeremo modalità di

Dettagli

II.3.1 Inverter a componenti discreti

II.3.1 Inverter a componenti discreti Esercitazione II.3 Caratteristiche elettriche dei circuiti logici II.3.1 Inverter a componenti discreti Costruire il circuito dell invertitore in logica DTL e verificarne il funzionamento. a) Posizionando

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015 Appello d esame del 17/6/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti)

Dettagli

SISTEMI. impostazione SISTEMI. progettazione. Saper utilizzare modelli di circuiti combinatori

SISTEMI. impostazione SISTEMI. progettazione. Saper utilizzare modelli di circuiti combinatori E1y - Presentazione del gruppo di lezioni E 1/3- Dove siamo? A SISTEMI impostazione componenti analogici C D E componenti digitali F SISTEMI progettazione E1y - Presentazione del gruppo di lezioni E 2/3-

Dettagli

INVERTITORE RESISTOR-TRANSISTOR LOGIC (RTL)

INVERTITORE RESISTOR-TRANSISTOR LOGIC (RTL) INERTITORE RESISTOR-TRANSISTOR LOGIC (RTL) FIG. 1. Resistor-Transistor Logic (RTL) inverter. ediamo un esempio di realizzazione di un invertitore (Figura 1). Assumiamo inizialmente che il fan-out dell

Dettagli

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Elettronica Digitale. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Paragrafi del Millman Cap. 6 6.- 6.4 M. De Vincenzi AA 9- Sistema

Dettagli

Politecnico di Torino - Facoltà dell Informazione Modulo Sistemi Elettronici

Politecnico di Torino - Facoltà dell Informazione Modulo Sistemi Elettronici Prova scritta del 8 Febbraio 2003 tempo: 2 ore Esercizio ) R =R2= 0kΩ R3 = 820kΩ R4 = 22kΩ R = 220kΩ R6 = 33kΩ C =C2= 00nF AO: Voff = 3mV, Ibias= 00nA (entranti) Ioff=20nA V=Asen(ωt) con A=mV V2=0.V V

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA )

SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA ) SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA 2005-06) Lezione A0: Introduzione Organizzazione del modulo, obiettivi, materiale didattico, Scomposizione di un sistema complesso in moduli funzionali, Diversi

Dettagli

Gli homework da preparare prima di iniziare la parte sperimentale sono calcoli e simulazioni dei circuiti su cui vengono eseguite le misure.

Gli homework da preparare prima di iniziare la parte sperimentale sono calcoli e simulazioni dei circuiti su cui vengono eseguite le misure. Esercitazione 4 Caratterizzazione e misure su circuiti digitali 1. Introduzione Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Misurare i parametri elettrici di porte logiche, -

Dettagli

Politecnico di Torino - Facoltà di ingegnera dell Informazione Sistemi Elettronici Risoluzione prova scritta del 14/07/2011 R 2 R 5

Politecnico di Torino - Facoltà di ingegnera dell Informazione Sistemi Elettronici Risoluzione prova scritta del 14/07/2011 R 2 R 5 Prova scritta 14 Luglio 2011 Testo 1 Esercizio 2 R1 39 k R5 90 k R2 25 k R6 10 k R3 100 k R7 100 k R4 39 k R8 24 k R9 100 k R10 12 k C1 = 12 nf; C2 = 22 nf A1: Voff = 8mV, Ioff = 300 na V1 V2 C 1 C A1

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2016 Appello d esame del 17/6/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti)

Dettagli

Le porte logiche. Elettronica L Dispense del corso

Le porte logiche. Elettronica L Dispense del corso Le porte logiche Elettronica L Dispense del corso Gli Obiettivi Introdurre il concetto di funzione logica. Dare una corrispondenza tra funzioni logiche e strutture di gate elementari. Introdurre l algebra

Dettagli

I circuiti digitali. Dispense del corso Elettronica L

I circuiti digitali. Dispense del corso Elettronica L I circuiti digitali Dispense del corso Elettronica L Gli Obiettivi - Comprendere il funzionamento del più elementare dei circuiti digitali - Invertitore o NOT - Introdurre definizioni e grandezze caratteristiche

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte A: Transistori in commutazione Lezione n. 3 - A - 3:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte A: Transistori in commutazione Lezione n. 3 - A - 3: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte A: Transistori in commutazione Lezione n. 3 - A - 3: Transistori MOS in commutazione Elettronica II - Dante Del Corso - Gruppo A - 8 n.

Dettagli

Politecnico di Torino - Facoltà di ingegnera dell Informazione Sistemi Elettronici Risoluzione prova scritta del 28/04/2012

Politecnico di Torino - Facoltà di ingegnera dell Informazione Sistemi Elettronici Risoluzione prova scritta del 28/04/2012 Esercizio 1 1 47 k 5 12 k 2 22 k 6 15 k 3 100 k 7 150 k 4 47 k 8 24 k 9 100 k C1 = 390 nf; C2 = 18 nf A1 e A2: Voff = 6mV, Ioff = 200 na V1 V2 2 1 C 2 C 1 A1 5 7 4 3 9 A2 6 VU 8 a) Calcolare Vu(V1,V2)

Dettagli

Elettronica dei Sistemi Digitali Le porte logiche CMOS

Elettronica dei Sistemi Digitali Le porte logiche CMOS Elettronica dei Sistemi Digitali Le porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/ Appello 09 Febbraio 2012

Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/ Appello 09 Febbraio 2012 Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/2011 3 Appello 09 Febbraio 2012 Indicare chiaramente la domanda a cui si sta rispondendo. Ad esempio 1a) Esercizio 1. R 1 = 20 kω, R 2

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per l informatica 1 Contenuto dell unità B Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti induttivi

Dettagli

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Valentino Liberali Dipartimento di Fisica Università degli Studi di Milano valentino.liberali@unimi.it Elettronica

Dettagli

Elettronica per l'informatica 10/10/2005

Elettronica per l'informatica 10/10/2005 Cosa Cosa c è c è nell unità D Unità : Elettronica digitale Elettronica per l informatica.1 Interconnessioni.2 Integrità di segnale.3 Diafonia e ground bounce 1 2 Contenuto dell unità D Prerequisiti per

Dettagli

Fig. E2.3. Tensioni di ingreso/uscita e trascaratteristica di inverter

Fig. E2.3. Tensioni di ingreso/uscita e trascaratteristica di inverter E2.3. CIRCUITI LOGICI Questo gruppo di lezioni analizza le caratteristiche elettriche, statiche e dinamiche, dei circuiti logici. Punto di partenza è la rappresentazione di stati logici (0,1) mediante

Dettagli

Retta di carico (1) La retta dipende solo da entità esterne al diodo. Corso Fisica dei Dispositivi Elettronici 1

Retta di carico (1) La retta dipende solo da entità esterne al diodo. Corso Fisica dei Dispositivi Elettronici 1 Retta di carico (1) La retta dipende solo da entità esterne al diodo. Corso Fisica dei Dispositivi Elettronici Leonello Servoli 1 Retta di carico (2) Dipende solo da entità esterne al transistor. Corso

Dettagli

3 B aut TPSEE 4 TEST FILA 1 3 apr Q1 BC Volts. VALUTAZIONE di COGNOME :. Nome :

3 B aut TPSEE 4 TEST FILA 1 3 apr Q1 BC Volts. VALUTAZIONE di COGNOME :. Nome : 3 B aut TPSEE 4 TEST FILA 1 3 apr 2013 1. Dato il seguente circuito e i valori di tensioni e correnti, determinare : a) La regione di funzionamento b) h FE, I E, V CB c) R B, R C d) cosa bisogna fare per

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione Esercitazione Be: parte B - ELETTRONIC PPLICT E MISURE Dante DEL CORSO Be Esercizi parte B ()» Interfacciamento statico» Ritardi» Diagrammi temporali» Massima cadenza clock

Dettagli

Note sul dimensionamento delle porte CML ed ECL.

Note sul dimensionamento delle porte CML ed ECL. Note sul dimensionamento delle porte ML ed L. imensionamento delle porte ML. La più semplice porta logica in tecnologia bipolare non saturata, è il circuito pilotato in corrente (ML o current-mode logic),

Dettagli

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A:

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A: ESPERIMENTZIONI DI FISIC 3 Traccia delle lezioni di Elettronica digitale M. De Vincenzi.: 22-23 Contenuto. Sistemi elettrici a 2 livelli 2. lgebra di oole Definizione Sistemi funzionali completi Leggi

Dettagli

Porte logiche in tecnologia CMOS

Porte logiche in tecnologia CMOS Porte logiche in tecnologia CMOS Transistore MOS = sovrapposizione di strati di materiale con proprietà elettriche diverse tra loro (conduttore, isolante, semiconduttore) organizzati in strutture particolari.

Dettagli

Stadi di Ingresso e Uscita speciali

Stadi di Ingresso e Uscita speciali Stadi di Ingresso e Uscita speciali, AA2014-2015 Modello e stadio di uscita Non è possibile connettere le uscite di due dispositivi (bassa impedenza di uscita = percorso a bassa impedenza tra e gnd) Scorre

Dettagli

Elettronica delle Telecomunicazioni Esercizi cap 5: Interconnessioni. 5.2 Verifica di funzionalità e calcolo del tempo di trasmissione

Elettronica delle Telecomunicazioni Esercizi cap 5: Interconnessioni. 5.2 Verifica di funzionalità e calcolo del tempo di trasmissione 5. Calcolo del tempo di trasmissione icavare l espressione del tempo di trasmissione t TX.per una interconnessione modellata con resistenza di uscita del driver, collegamento diretto, capacità di ingresso

Dettagli

AMPLIFICATORE DIFFERENZIALE

AMPLIFICATORE DIFFERENZIALE AMPLIFICATORE DIFFERENZIALE Per amplificatore differenziale si intende un circuito in grado di amplificare la differenza tra due segnali applicati in ingresso. Gli ingressi sono due: un primo ingresso

Dettagli

b) Tracciare il diagramma di Bode (modulo) di Vu/V1, su assi tarati in Hz e db, per C = 8 nf.

b) Tracciare il diagramma di Bode (modulo) di Vu/V1, su assi tarati in Hz e db, per C = 8 nf. Esercizio analogico A a) alcolare u (,) per 0, con AO ideali. b) Tracciare il diagramma di Bode (modulo) di u/, su assi tarati in Hz e db, per 8 nf. c) alcolare il guadagno in continua u/, con AO ideali,

Dettagli

(E4-U18) Gli homework da preparare prima di iniziare la parte sperimentale sono calcoli e simulazioni dei circuiti su cui vengono eseguite le misure.

(E4-U18) Gli homework da preparare prima di iniziare la parte sperimentale sono calcoli e simulazioni dei circuiti su cui vengono eseguite le misure. Esercitazione 6 (E4-U8) Caratterizzazione e misure su circuiti digitali Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Misurare i parametri elettrici di porte logiche, - Verificare

Dettagli

Unità D: Elettronica digitale. Cosa Cosa c è c è nell unità D

Unità D: Elettronica digitale. Cosa Cosa c è c è nell unità D Elettronica per telecomunicazioni 1 Cosa Cosa c è c è nell unità D Unità D: Elettronica digitale D.1 Interconnessioni D.2 Integrità di segnale D.3 Diafonia e ground bounce D.4 Dispositivi programmabili

Dettagli

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4 Esercitazione 4 Caratterizzazione e misure su circuiti digitali 1. Introduzione Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Misurare i parametri elettrici di porte logiche, -

Dettagli

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali Porte logiche Porte Logiche Lucidi del Corso di Elettronica Digitale Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Una porta logica

Dettagli

Esercitazione del 29 Aprile 2009

Esercitazione del 29 Aprile 2009 Esercitazione del 29 Aprile 2009 Invertitore Resistor-Transistor Logic (RTL) V out a) Parametri BJT Altri V out β F = 70 = 5V Q 1 I B V V CE V on = 0.7V = 0.8V = 10kΩ = 1kΩ b) CE = 0.1V Figura 1: Porta

Dettagli

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4 Esercitazione 4 Caratterizzazione e misure su circuiti digitali 1. Introduzione Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Misurare i parametri elettrici di porte logiche, -

Dettagli

Circuiti Digitali. Appunti del Corso

Circuiti Digitali. Appunti del Corso Circuiti Digitali Appunti del Corso Indice CENNI SULLA FISICA DEI SEMICONDUTTORI 1 Semiconduttori intrinseci (puri)... 2 Semiconduttori estrinseci (impuri)... 4 Semiconduttori di tipo P... 4 Semiconduttori

Dettagli

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali Porte logiche Porte Logiche Lucidi del Corso di Elettronica Digitale Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Una porta logica

Dettagli

b) Tracciare il diagramma di Bode (modulo) di Vu/V1, su assi tarati in Hz e db, per C = 8 nf.

b) Tracciare il diagramma di Bode (modulo) di Vu/V1, su assi tarati in Hz e db, per C = 8 nf. Esercizio analogico A a) alcolare u (,) per 0, con AO ideali. b) Tracciare il diagramma di Bode (modulo) di u/, su assi tarati in Hz e db, per 8 nf. c) alcolare il guadagno in continua u/, con AO ideali,

Dettagli

Politecnico di Torino Diploma in Ingegneria Elettronica Elettronica Applicata II

Politecnico di Torino Diploma in Ingegneria Elettronica Elettronica Applicata II Politecnico di Torino Diploma in Ingegneria Elettronica Elettronica Applicata II Esercizio 980217-1 (scritto 980217, corr. DD 980215, rev DD 980925) Progettare un generatore di segnali che impieghi uno

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione ELETTRONICA APPLICATA E MISURE Dante DEL CORSO Be2 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 AA 2014-15 23/09/2014-1 ElapBe2-2014 DDC Page 1

Dettagli

Sistemi di Elettronica Digitale, Sez.2

Sistemi di Elettronica Digitale, Sez.2 Sistemi di Elettronica Digitale, Sez.2 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. Ingegneria dell Informazione 030-3715627 Martedì 16:30-18:30 Sistemi di elettronica digitale, A.

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione Come utilizzare gli esercizi ELETTRONICA APPLICATA E MISURE Dante DEL CORSO Be2 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 AA 2015-16 Esercizi

Dettagli

Terza esercitazione - Circuito che emula una catena di acquisizione del segnale. Vout. Sistema di conversione (10kHz; 0 +5V)

Terza esercitazione - Circuito che emula una catena di acquisizione del segnale. Vout. Sistema di conversione (10kHz; 0 +5V) Terza esercitazione - Circuito che emula una catena di acquisizione del segnale Progettare un sistema che acquisisce un segnale analogico 10Hz 10Vpp e lo converte in un segnale digitale codificato su due

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione ELETTRONICA APPLICATA E MISURE Dante DEL CORSO B8 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 22/10/2013-1 ElapB8-2013 DDC Page 1 2013 DDC 1 Come

Dettagli

ELETTRONICA II. Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe. Politecnico di Torino

ELETTRONICA II. Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe. Politecnico di Torino ELETTRONICA II Lezioni: Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe Politecnico di Torino Lezioni Gruppo B rev 7 Elettronica II - Dante Del Corso - Gruppo

Dettagli

Lettura analogica di una tensione.

Lettura analogica di una tensione. nalogico e digitale Lettura analogica di una tensione. L'informazione analogica (tensione) viene riportata sul quadrante sotto forma di un angolo proporzionale al valore della tensione Lettura digitale

Dettagli

Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità

Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità di trasferimento dati. Esempio: Si supponga che ai

Dettagli

I circuiti binari: definizione delle funzioni logiche

I circuiti binari: definizione delle funzioni logiche I circuiti binari: definizione delle funzioni logiche Prof. lberto orghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano /38 Sommario Variabili ed operatori

Dettagli

Circuito Invertitore (1)

Circuito Invertitore (1) Circuito Invertitore () Implementazione della funzione NOT in logica positiva V() = 2 Volts V(0) = 0.2 Volts VR = -2 Volts Circuito Invertitore (2) Se l ingresso vi è nello stato 0 (V=0 Volts) il transistor

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli