Reti Logiche A Prova di giovedì 3 febbraio 2005

Documenti analoghi
Reti Logiche A Esame del 13 settembre 2007

Reti Logiche A II Prova - 11 febbraio 2008

Reti Logiche A - Prova di mercoledì 16 febbraio 2005

Reti Logiche A Esame del 24 febbraio 2006

Reti Logiche A Appello del 9 luglio 2009

Reti Logiche A II Prova - 2 marzo 2009

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A II Prova - 1 febbraio 2010

Reti Logiche Appello del 1 marzo 2011

Reti Logiche A Esame del 14 febbraio 2006

Reti Logiche A Prova di mercoledì 12 novembre 2003

Reti Logiche A Appello del 24 febbraio 2010

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone

Reti Logiche A - Prova di mercoledì 17 novembre 2004

Sintesi di Reti sequenziali Sincrone

Prova d esame di Reti Logiche T 13 Luglio 2016

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA:

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9

Sintesi di Reti Sequenziali Sincrone

COGNOME: NOME: MATRICOLA:

Sintesi di Reti sequenziali Sincrone

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2003/ novembre 2003

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA.

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA:

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica

Esercizi Logica Digitale,Circuiti e Bus

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

Esercizi sulle Reti Sequenziali Sincronizzate

COGNOME: NOME: MATRICOLA:

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica

ACSO Architettura dei Calcolatori e Sistemi Operativi

Seconda esercitazione

ESERCIZIO 1 Si consideri la seguente funzione f (A, B, C, D) non completamente specificata definita attraverso il suo ON-SET e DC-SET:

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo.

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Sintesi Sequenziale Sincrona

Automa a Stati Finiti (ASF)

I Indice. Prefazione. Capitolo 1 Introduzione 1

Reti Logiche T. Esercizi reti sequenziali sincrone

Circuiti sequenziali: macchine a stati finiti

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere

x y z F x y z F

Sintesi Sequenziale Sincrona

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali

Riassunto tecnica digitale

Prova d esame di Reti Logiche T 12Giugno 2015 COGNOME:.. NOME:.. MATRICOLA:

Macchine Sequenziali

Reti Logiche A. FSM in VHDL

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

Prova d esame di Reti Logiche T 10 Giugno 2016

ESERCIZIO N. 1 - PAGINA 1

Esercizi Risolti RETI LOGICHE T (Modulo 2)

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa:

DOMANDA N. 1 Quale frequenza del clock minimizza il numero di stati della rete sequenziale sincrona?

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Appello del 10 Febbraio Attenzione:

MPHS AA FSM in VHDL

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS)

Compito A. Esercizio 1 (13 punti) Minimizzare l automa descritto dalla seguente tabella degli stati

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Appello del 26 Gennaio Attenzione:

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione.

ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI

Esercizi. Logica Digitale. Alessandro A. Nacci ACSO 2014/2014

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Pre-appello del 12 Gennaio Attenzione:

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

Macchine sequenziali. Automa a Stati Finiti (ASF)

Introduzione - Modello. Introduzione - progetto e strumenti

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2001/ febbraio 2004

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Somma 3-bit. somma 3-bit con I/O sequenziale. somma 3-bit con I/O sequenziale. Osservazione

Esercitazione del 26/03/ Soluzioni

RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone

Informatica A a.a. 2010/ /02/2011

Sintesi Sequenziale Sincrona. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Introduzione al VHDL Lezione 3

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori...

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome

SCHEDA N D05_02A Data: 28/01/2005. ESERCIZIO N 2 7 punti. ESERCIZIO N 3 6 punti. ESERCIZIO N 4 7 punti. ESERCIZIO N 1 8 punti.

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 10 Novembre 2009 COGNOME E NOME RIGA COLONNA MATRICOLA

Quarta esercitazione

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2005/ novembre 2006

Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri. Fondamenti di Informatica I prova in itinere

Esercitazione 1 di verifica

Calcolatori Elettronici

Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri. Fondamenti di Informatica I prova in itinere

Reti sequenziali. Nord

Esercizio 1.A Aritmetica binaria (nel presentare le soluzione mostrare, almeno nei passaggi piú significativi, i calcoli eseguiti) (3 punti)

Informatica A. Istruzioni

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari

Macchine sequenziali

Transcript:

olitecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A rova di giovedì febbraio 005 Matricola Cognome Nome Istruzioni Scrivere solo sui fogli distribuiti. Non separare questi fogli. È vietato portare all esame libri, eserciziari, appunti e calcolatrici. Chiunque venga trovato in possesso di documentazione relativa al corso anche se non strettamente attinente alle domande proposte vedrà annullata la propria prova. Non è possibile lasciare l aula conservando il tema della prova in corso. Tempo a disposizione: h:5m. alore indicativo di domande ed esercizi, voti parziali e voto finale: Esercizio ( punti) Esercizio (.5 punti) Esercizio (.5 punti) Esercizio 4 ( punti) Esercizio 5 ( punti) oto finale: (6 punti) Con Soluzioni

Esercizio n. Data la seguente tabella degli stati: I) si esegua l'analisi di compatibilità II) Trovare le classi di massima compatibilità tramite l algoritmo che costruisce l albero delle compatibilità III) Trovare una macchina ridotta utilizzando un algoritmo tra quanti noti, derivando la nuova tabella degli stati e il relativo diagramma degli stati IN 0 IN A A / 0 B / B B / 0 - / - C C / - D / D E / H / 0 E F / - / 0 F F / - - / G G / 0 B / H A / B / - Soluzione: B C BD D X X X E X X X EF F X X G DB X X H X X CA AE FA FA X DB BH A B C D E F G B C X D X X X E X X X X F X X G X X X H X X X X FA FA X A B C D E F G Classi di massima compatibilità: ABGF, CBF, FH, EH, D Reti Logiche A rova di giovedì febbraio 00 Esercizio n. -- pagina di 0

Esercizio n. Realizzare un circuito sequenziale sincrono con due ingressi (a e b) ed una uscita (z), il cui comportamento è il seguente: quando si riceve in ingresso a b l'uscita z viene posta a 0 successivamente se a b allora z, se a b allora z 0 fino a che non si riceve a b 0 quando si riceve in ingresso a b 0 l'uscita viene posta a 0 successivamente l'uscita commuta ad ogni ciclo di clock fino a quando non si riceve a b che comunque porta l uscita a 0. Si utilizzi come modello di riferimento quello della macchina di Moore, si utilizzino flip-flop di tipo JK e si adotti un assegnamento dello stato basato sulla codifica binaria naturale sul numero minimo di bit. Soluzione: Tabella degli stati: 00 0 0 z A B B C B 0 B A A C A C A D C D 0 D A D C D Codifica: A 00 B 0 C D 0 Tabella degli stati codificati: 00 0 0 z 00 0 0 0 0 0 00 00 00 00 0 0 0 0 00 0 0 Tabella delle eccitazioni JK Q Q J K 0 0 0 0 0 0 Tabella 00 0 0 z 00 0- - 0- - 0 0 0 0- - 0- - 0 0 Reti Logiche A rova di giovedì febbraio 00 Esercizio n. -- pagina di 0

- - -0-0 0 0 0 0-0- -0 0-0 0 0 Sintesi della funzione d uscita: z q0 q Mappe di Karnaugh della funzione stato prossimo: 00 0 0 00 0 0 00 0 0 0 00 - - - - 0 0 0 0 0 - - - - - - - - 0 0 0 0 - - - - 0 0 0 0 J0 K0 00 0 0 00 0 0 00 00 - - - - 0 - - - - 0 0 - - - - 0 0 0 0 0 0 - - - - J K J0 ab K0!a!b J!q0 + ab K!a +!b a b J 0 K z J K Reti Logiche A rova di giovedì febbraio 00 Esercizio n. -- pagina 4 di 0

Esercizio n. Data la seguente tabella degli stati minima di una macchina di Mealy: 00 0 0 S S/ S/ S5/0 S/ S S/ S4/0 S/0 S/0 S S/ S4/0 S4/0 S4/0 S4 S/0 S5/0 S5/0 S/0 S5 S/ S5/0 S5/0 S/0 Si determini un buon assegnamento degli stati secondo i criteri comunemente adottate. Soluzione: er il I criterio (coppie di stati che condividono lo stesso stato prossimo per lo stesso ingresso) si ha: SS condividono S SS4 condividono S SS4 condividono S SS5 condividono S SS condividono S4 S4S5 condividono S5 SS4 condividono S5 SS5 condividono S5 S4S5 condividono S5 S4S5 condividono S er il II criterio (coppie di stati prossimi con ingresso adiacente) si ha: stato presente S SS5 S5S SS stato presente S SS4 S4S SS stato presente S SS4 S4S stato presente S4 SS5 S5S stato presente S5 SS5 S5S Reti Logiche A rova di giovedì febbraio 00 Esercizio n. -- pagina 5 di 0

SS La tabella dei vincoli pesati è la seguente: SS SS4 SS4 SS5 SS S4S5 SS5 5 S5S S4S SS Il grafo delle adiacenze è il seguente S 5 S S5 S S4 I vincoli non possono essere tutti soddisfatti e vengono tagliati gli archi AB, BC e EF. L assegnamento è quindi 00 0 0 0 S S S5 S S4 Reti Logiche A rova di giovedì febbraio 00 Esercizio n. -- pagina 6 di 0

Esercizio n. 4 Sia data una macchina sequenziale sincrona con ingressi (a, b, c, d, e) e uscita (Y), la cui rete combinatoria che realizza le funzioni λ (uscita) e δ (stato prossimo) è rappresentata dalla seguente rete multilivello + ab QQ D + d D cdq D e + D D Q + Q D d + Q Y Q Y Dove: Q, Q e Q rappresentano le variabili di stato presente L espressione associata a Y costituisce la funzione λ Le espressioni associate a D, D e D costituiscono la funzione δ, e sono nodi intermedi I) Dire se la macchina descritta è di Mealy o di Moore, giustificando la risposta; II) III) Realizzare la rete combinatoria tramite LA. Si indichino esplicitamente i termini prodotto del piano AND e le espressioni relative al piano OR, si disegni anche lo schema logico delle interconnessioni da programmare. Si supponga di voler realizzare la stessa macchina tramite una ROM: a) Si dica quale è il numero di ingressi (bit indirizzo) e il numero di uscite (bit di parola) della ROM necessari per realizzare la macchina b) Si dica quale è il procedimento, partendo dalle espressioni logiche date, per ottenere la realizzazione tramite ROM Soluzione: La macchina è una macchina di Mealy poiché l uscita dipende, oltre che dallo stato presente, anche dagli ingressi. Termini prodotto e sezione OR da realizzare (l asterisco indica le funzioni OR che vanno retroazionate. er D, D e D il segnale portato in retroazione è l uscita Q del bistabile D corrispondente all ingresso omonimo) Sezione AND Reti Logiche A rova di giovedì febbraio 00 Esercizio n. 4 -- pagina 7 di 0

Reti Logiche A rova di giovedì febbraio 00 Esercizio n. 4 -- pagina 8 di 0 0 9 8 7 6 5 4 Q Q e Q d cdq Q Q ab Sezione OR 0 9 5 8 7 6 4 5 4 Y D D D + + + + +

Esercizio n. 5 Data la seguente descrizione di circuito in HDL library ieee; use ieee.std_logic_64.all; ENTITY vhdl_ex IS ORT(clk: in bit; c: in bit; d: in bit; o: out bit); END; ARCHITECTURE arc OF vhdl_ex IS SIGNAL s0,s: bit; BEGIN 0: ROCESS(clk) BEGIN IF (clk'eent AND clk '') THEN s0<d; END IF; END ROCESS; o < s WHEN (c'') ELSE s0; : ROCESS(clk) BEGIN IF (clk'eent AND clk '') THEN s<s0; END IF; END ROCESS; END arc; I) Indicare se il circuito descritto è un circuito combinatorio o sequenziale con le opportune motivazioni. II) Disegnare un circuito composto da componenti elementari (porte logiche, multiplexer, bistabili) che implementi il modello HDL proposto III) Ricavare le forme d onda del segnale o in corrispondenza dei segnali di ingresso di seguito riportati: clk d c o Soluzione: I) Indicare se il circuito descritto è un circuito combinatorio o sequenziale con le opportune motivazioni. Il circuito è sequenziale perché contiene due processi che descrivono due flip flop d II) Disegnare un circuito composto da componenti elementari (porte logiche, multiplexer, bistabili) che implementi il modello HDL proposto Reti Logiche A rova di giovedì febbraio 00 Esercizio n. 5 -- pagina 9 di 0

III) Ricavare le forme d'onda del segnale o in corrispondenza dei segnali di ingresso di seguito riportati: Reti Logiche A rova di giovedì febbraio 00 Esercizio n. 5 -- pagina 0 di 0