RELAZIONE SULL ATTIVITA SCIENTIFICA E DIDATTICA SVOLTA NEL TRIENNIO 27/12/ /12/2013

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "RELAZIONE SULL ATTIVITA SCIENTIFICA E DIDATTICA SVOLTA NEL TRIENNIO 27/12/2010 27/12/2013"

Transcript

1 RELAZIONE SULL ATTIVITA SCIENTIFICA E DIDATTICA SVOLTA NEL TRIENNIO 27/12/ /12/2013 BERTOZZI DAVIDE ATTIVITA SCIENTIFICA L attività scientifica nel triennio considerato si è focalizzata fondamentalmente sugli aspetti della comunicazione nei sistemi integrati multi-core, e sulle problematiche implementative sia nell ambito di tecnologie nanometriche del silicio, sia di tecnologie emergenti quali la silicon photonics. Segue il dettaglio dell attività svolta strutturato per argomenti: Architetture globalmente asincrone e localmente sincrone. Dopo aver esaminato nel triennio precedente un paradigma di progettazione basato su sincronizzatori, sia mesocroni sia del tipo dual-clock FIFOs, in questo triennio l enfasi si è spostata sulla implementazione di un paradigma di tipo GALS (globalmente asincrono e localmente sincrono) in senso stretto. Ovvero, il sistema è visto come un insieme di isole di frequenza e tensione, all interno delle quali vale il tradizionale paradigma sincrono, mentre la comunicazione tra queste isole viene effettuata mediante una architettura di comunicazione completamente asincrona. Questa soluzione permette di assorbire nella architettura di comunicazione le differenze di frequenze operative delle varie isole, ma solleva il non-banale problema della progettazione affidabile di circuiti autotemporizzati. Questi ultimi si basano su protocolli di handshaking piuttosto che sulla rigida temporizzazione degli eventi con un segnale di clock. Allo stato dell arte, i circuiti asincroni più in voga nell ambito delle network-onchip erano (e sono) quelli di tipo quasi-delay insensitive, ovvero quelli che sono in grado di garantire una elevata robustezza rispetto alle variazioni dei parametri circuitali, in particolare dei ritardi. Tuttavia, questo viene ottenuto a scapito di un elevatissimo costo di area e soprattutto di energy-per-bit, tanto che i risparmi in potenza documentati provengono in realtà dal basso utilizzo della rete piuttosto che dal basso consumo intrinseco del circuito asincrono. Quest ultimo, infatti, non avendo clock, presenta un idle power estremamente basso. Lo scopo della ricerca da me diretta consisteva nel superare questa situazione, esplorando un design point finora inesplorato: quello delle network-on-chip asincrone di tipo bundled data con protocollo di segnalazione a 2 livelli. Questo paradigma presenta la potenzialità di fornire circuiti più semplici e veloci (quindi con minor energy-per-bit), al costo di una minor robustezza intrinseca alle variazioni. Una sfida aggiuntiva che la nostra attività di ricerca ha affrontato è stata la realizzazione dei suddetti circuiti bundled data a 2 fasi mediante gli strumenti per la sintesi automatica tradizionalmente utilizzati per i circuiti sincroni. La mancanza di tool e flussi ad-hoc per l asincrono è difatti uno degli ostacoli più grandi per la sua diffusione. L approccio perseguito è stato quello di uno scripting estensivo per gli strumenti CAD di uso comune. Come risultato di questo sforzo di ricerca, si sono ottenute alcune milestone significative. Primo: si è progettato fino a livello GDSII uno switch asincrono per NoC bunlded-data a 2 fasi, dimostrando risparmi di area, consumo di potenza statico E dinamico sempre superiori al 50% rispetto alle corrispondenti architetture sincrone, nel contempo bilanciandone le performance. Secondo: è stato sviluppato un flusso di sintesi della nuova architettura in grado di convergere con strumenti tradizionali. Non si tratta ancora di soft macro ma di quasi soft-macro (manca un supporto per la specifica RTL technology-independent ), che rappresenta un indubbio passo avanti rispetto alle hard macro dello stato dell arte. Terzo: si è testata la metodologia di progettazione sviluppata su architetture più complesse, orientate allo sfruttamento efficiente della banda di comunicazione (canali virtuali), dimostrando modi innovativi ed efficaci di ovviare alla complessità dei circuiti di controllo, mal supportati dai protocolli di handshaking. Questa attività è

2 stata svolta in modo sinergico con il Prof. Steve Nowick della Columbia University (New York), con cui esiste un rapporto consolidato e pluriennale di collaborazione. Ricerca e sviluppo di meccanismi di routing scalabili per NoC. Uno dei tradizionali problemi di progettazione delle network-on-chip per la comunicazione nei sistemi multicore consiste nella definizione di un meccanismo di routing e di un algoritmo di routing, tenendo conto della compatibilità del secondo col primo e della necessità di evitare condizioni di blocco del traffico o di starvation. L avvento di tecnologie nanometriche al di sotto dei 65nm ha richiesto un cambiamento dei meccanismi di routing cui il nostro lavoro di ricerca ha fatto fronte. Difatti, le tradizionali tabelle di routing presentano il problema della scalabilità in area, potenza e ritardo di accesso, con conseguenze negative sulla dimensione delle reti e sulle loro frequenze operative. La nostra ricerca ha proposto un approccio alternativo fortemente scalabile: logica di routing distribuita negli switch della rete. La sfida progettuale principale è stata quella di far fronte alla scarsa (o nulla) configurabilità di questa logica, che ne ha finora limitato l utilizzo a reti regolari a topologia fissa (es., reti a griglia). Ne è scaturita la teoria e la pratica progettuale del logic-based distributed routing (LBDR), che consiste nell affiancare alla logica decisionale di routing dei registri di configurazione in grado di codificare le seguenti informazioni: algoritmo di routing, connettività degli switch, eventuali deviazioni rispetto all algoritmo principale. Si è così ottenuto che il 68% delle topologie irregolari derivate da una mesh 2D può essere utilizzato con questa metodologia, applicando nel contempo un arbitrario algoritmo di routing principale. Si sono poi trovati i requisiti architetturali per far salire questa percentuale al 100%, che consistono principalmente nella scelta di una strategia di commutazione di tipo virtual cut-through switching anzichè di wormhole switching, in molti casi possibile e in alcuni desiderabile. Il principale risultato innovativo è consistito nella dimostrazione di una eccellente scalabilità rispetto alle tradizionali tabelle di routing, in quanto la complessità di questo approccio non dipende dalla dimensione della rete, ma solo dal radix dello switch. LBDR rappresenta ad oggi un background su cui molti gruppi di ricerca (es., in Asia o negli USA) continuano a costruire i loro framework di routing più complessi. La attività di ricerca è stata condotta in stretta collaborazione con il Prof. José Flich, della Università Politecnica di Valencia (Spagna), con cui esiste oramai uno stabile legame pluriennale. Essa ha fruttato un best paper award all Int. Symposium on Networks-on-Chip 2010, la comunità di riferimento per le NoC. Ricerca e sviluppo di meccanismi per la riconfigurabilità dinamica del routing nelle NoC. Costruendo sul principio della potenziale configurabilità del meccanismo di routing LBDR, l attività di ricerca ha cercato di sviluppare metodi e supporti architetturali per consentire la riconfigurabilità dinamica dell algorito di routing. Nonostante sembri un dettaglio, questo punto rappresenta la porta di ingresso per le moderne applicazioni dei sistemi multicore, quali la implementazione di politiche di power management a grana fine, di condivisione delle risorse, di virtualizzazione, e di fault-tolerance. Inoltre, l obiettivo è tutt altro che semplice, dal momento che modificare a tempo di esecuzione l algoritmo di routing della rete deve fare i conti con quei pacchetti che in quel dato momento sono in transito sulla rete. In pratica, il problema è che nella transizione da un algoritmo a quello successivo, potrebbe accadere una condizione di blocco permanente del traffico. Per ovviare a questo problema, si è pensato ad una tecnica di riconfigurazione statica ottimizzata. Nella riconfigurazione statica, i pacchetti in rete vengono assorbiti completamente, e il traffico bloccato, prima di procedere alla modifica dei registri di configurazione dell LBDR. Poi si riprende la iniezione di traffico in rete. Questo approccio paga il costo di un elevatissimo impatto sulle prestazioni, causa la temporanea sospensione del servizio. Il nostro approccio è invece consistito nell implementare la riconfigurazione dinamica localmente a livello dei singoli switch. In pratica, esiste un token che viaggia in rete seguendo il channel dependency graph del vecchio algoritmo di routing, e man mano che passa determina una commutazione locale al nuovo algoritmo. Ovviamente esistono condizioni qui non specificate affinchè la commutazione avvenga in maniera sicura. Il risultato è che esistono temporaneamente due funzioni di routing nella rete, ma i rispettivi pacchetti sono separati da questo token. La soluzione (chiamata overlapped static riconfiguration,

3 OSR ) si è dimostrata efficace nel garantire transizioni della funzione di routing in tempi rapidi, e soprattutto immune da problemi di blocco. Inoltre, l impatto sulla frequenza operativa della rete si è rivelato pressochè nullo, con solo qualche marginale incremento di area. Il costo più rilevante è consistito nella introduzione di una rete duale (non necessariamente una NoC, basta un ring) in grado di trasmettere a tempo di esecuzione i bit che codificano la nuova funzione di routing agli switch, e ai loro registri LBDR in particolare. La attività di ricerca è stata svolta in collaborazione con il Prof. José Flich dell Università Politecnica di Valencia. Metodologie di testing per NoC. Nel momento in cui le NoC diventano IP core all interno di prodotti commerciali in misura crescente, si pone il problema della loro completa idoneità per un processo esteso di industrializzazione, che passa per la loro testabilità. Questo problema è ancora più critico se si pensa che le NoC rappresentano il meccanismo di accesso al testing per i processing core dei sistemi multicore, il ché richiede il loro corretto ed affidabile funzionamento. A tal fine, l attività di ricerca ha previsto la esplorazione dello spazio di progetto di metodologie e tecniche di testing alternative per una stessa architettura NoC di riferimento, portando così ad uno degli studi più vasti fatti nel settore a parità di setup sperimentale. Si è dimostrato innanzitutto che i tradizionali ATE non sono in grado di affrontare la complessità del controllo e la natura distribuita delle odierne NoC. Inoltre, si è dimostrato che al fine di minimizzare il tempo di applicazione del testing, e rendere così possibile strategie a lungo termine di lifetime testing, è necessario che il test wrapper sia realizzato in modo invasivo nella architettura dei componenti under test. In pratica, solo integrando generatori di pattern di traffico e analizzatori di risposta all interno degli switch, si sono ottenute coperture pari al 98% per i single stuck-at faults. Inoltre, solo penetrando all interno del feedback loop delle macchine a stati è stato possibile ottenere dei tempi di applicazione del testing pari a qualche centinaia di cicli indipendentemente dalla dimensione della rete. Questi risultati spostano i target per le strategie di testing allo stato dell arte, anche se hanno dovuto fare i conti con una notevole complessità implementativa, in termini di area e di impatto del test wrapper sulla frequenza operativa dei componenti. L attività di ricerca si è dunque occupata di ottimizzare le strategie di testing messe a punto, tentando la compressione dei pattern di test, l utilizzo di diversi tipi di pattern di test (da quelli random a quelli deterministici), e ottimizzazioni ad-hoc per l architettura under test. Ne è risultato un impatto complessivo che si aggira intorno al 10% per quanto riguarda l area overhead, nonchè la capacità di limitare la frequenze operative al più di qualche punto percentuale. L attività di ricerca è stata svolta in parte in collaborazione con il prof. Michele Favalli dell Università di Ferrara, ed ha portato alla vittoria di diversi best paper awards (SAMOS 2012, MCSOC 2012). Sviluppo di un flusso di sintesi completo per NoC irregolari. Mentre le reti a griglia (o mesh 2D) per sistemi general-purpose non pongono particolari problemi di sintesi fisica se non a livello della definizione di una efficace metodologia di sintesi gerarchica, le reti a topologia irregolare vengono definite sulla base dello specifico dominio applicativo, e la loro efficienza in termini di consumo di potenza, area e frequenza operativa dipende in larga parte dalla bontà della metodologia di sintesi. Nei flussi di sintesi allo stato dell arte, i problemi principali riguardano la scarsa visibilità che i livelli gerarchici hanno gli uni degli altri, la difficoltà di far interagire tool da vendor diversi, e la difficoltà di far fronte a nuovi problemi che provengono dalle sottostanti tecnologie del silicio. Per questo motivo, questa attività di ricerca in ambito CAD si è occupata di sviluppare una metodologia di sintesi completa, interoperabile e idonea a far fronte alle sfide tecnologiche. Una delle principali novità della nuova metodologia consiste nell anticipare il floorplanning del sistema nei primi passi progettuali, anzichè negli ultimi come tradizionalmente avviene. In questo modo, basandosi su metriche astratte (costo medio della comunicazione tra core, analisi degli IR drops), è stato possibile indirizzare il percorso di sintesi verso le direzioni più promettenti. Si sono poi definiti i vincoli che i tool per la sintesi topologica devono rispettare al fine di garantire la correlazione dei risultati attraverso il flusso di sintesi gerarchico. Infine, si è definita una metodologia per la sintesi fisica gerarchica che fosse in grado di minimizzare il tempo di sintesi, di garantire soluzioni lowpower nonchè la convergenza immediata dei risultati di timing. Il punto chiave di questa

4 metodologia di sintesi fisica è stata la calibrazione dei parametri di bordo delle macrocelle: settando in modo molto conservativo la driving strength delle celle di I/O dei blocchi architetturali, risultava più semplice la loro composizione gerarchica, nonchè l effort del tool di routing, in modo tale che i risultanti consumi di potenza dinamica erano inferiori rispetto al caso di dimensionamento più aggressivo. Soprattutto, il nuovo flusso di sintesi garantisce la interoperabilità di tool diversi, grazie alla definizione di un formato di scambio comune delle informazioni sul design. Ne è scaturita la specifica nota come CEF (Communication Exchange Format), resa disponibile online. Il flusso di sintesi è stato definito assieme a partner industriali nell ambito NoC; in particolare: inocs (Svizzera), Teklatech (Danimarca), Intel Mobile Communications (Germania). Prototipazione nell ambito di progetti comunitari. Il nostro gruppo di ricerca ha volutamente assunto un ruolo chiave nelle attività di prototipazione finali previste dai progetti europei per dimostrare il raggiungimento dei risultati pianificati. Lo scopo è stato soprattutto quello di maturare competenze applicative di assoluto interesse per l industria, ma anche di aprire la possibilità per future iniziative di ricerca ad alto valore aggiunto. La prima attività di prototipazione ha riguardato la realizzazione di una piattaforma FPGA su cui validare un sistema multicore completo a 16 core, interconnesso da una network-on-chip con caratteristiche avanzate. La sfida principale è consistita nella realizzazione di switch per network-on-chip che assommassero in sè una serie di caratteristiche innovative, nonchè la loro coerente ed efficace integrazione: riconfigurazione dinamica della funzione di routing, testing, fault-tolerance, sistema di notifica a tempo di esecuzione. Si è dimostrato che la somma di queste caratteristiche fornisce una complessità architetturale simile alla applicazione banale di strategie di TMR ad uno switch base, confermando che perseguire politiche più intelligente del TMR è remunerativo. Inoltre, si è dimostrato la capacità della piattaforma FPGA (una evaluation board della Virtex-7) di implementare in modo efficace: boot-time testing, correzione al volo di errori transitori, virtualizzazione per consentire la coesistenza di macchine virtuali sulla piattaforma hardware condivisa. Il prototipo è stato presentato a Bruxelles alla Commissione Europea, ed è stato anche presentato alla comunità scientifica a Parigi nell ambito della Hipeac NoE. Il secondo dimostratore è consistito nella sperimentazione del flusso di sintesi innovativo per NoC application-specific con un case study industriale. Intel Mobile Communications ha fornito le specifica di una applicazione di televisione digitale ad alta definizione per sistemi smart portabili. Questa applicazione è stata usata per percorrere il flusso di sintesi nelle sue tappe, risolvendo l interazione tra i diversi partner industriali coinvolti in esso, ed ha dimostrato sia la correlazione tra le scelte fatte nei livelli più alti della gerarchia con le misurazioni post-layout sia la capacità di first-time right design. Il flusso è stato dimostrato a Bruxelles alla Commissione Europea. Progettazione di acceleratori many-core programmabili. Dal 2000 al 2005 è iniziata la rivoluzione dei multi-core all interno dei sistemi digitali integrati. In pratica, il carico computazionale è suddiviso su una moltitudine di unità di elaborazione che procedono in parallelo mediante opportune tecniche di sincronizzazione. Oggi questo paradigma è portato alle estreme conseguenze, in quanto sta conducendo alla integrazione sullo stesso die di silicio di decine e centinaia di unità di elaborazione. Per i sistemi embedded, la forma con cui questo avviene è tipicamente quella di sottosistemi di accelerazione dell elaborazione, su cui effettuare l offload di carichi computazionali pesanti. E questo un paradigm che pesca le sue radici nell avvento delle GPU per il throughput computing, e che tuttavia ha obiettivi e problemi implementativi tutti suoi. Questa attività di ricerca si è occupata di progettare una rete di interconnessione per un acceleratore programmabile many-core. Questo ha richiesto sia la considerazione dei requisiti sistemistici (es., gerarchia e gestione della memoria, modello di programmazione, paradigma di virtualizzazione,..) sia dei requisiti comunicativi in senso stretto (es., traffico globale e locale, riconfigurazione delle partizioni,..). Ciò ha portato non ad una, ma ad un ventaglio di soluzioni che riflettono tutte le possibili scelte sistemistiche che il progettista potrebbe prendere. In questo senso, si è definito il contesto operativo in cui utilizzare canali virtuali, utilizzare reti multiple,

5 rendere riconfigurabile il meccanismo di routing, utilizzare la QoS,... Mediante la collaborazione sinergica con l Università di Bologna, ne sta risultando la progettazione completa di un acceleratore manycore programmabile, in grado di competere con le proposte più recenti ad opera di spin-off industriali, quali la tecnologia Hypercore di Plurality (Israele). Questa attività è finanziata attraverso il progetto europeo virtical, cui partecipo come membro esterno dell Univ. di Bologna. Sviluppo di network-on-chip in tecnologia ottica. I recenti significativi progressi della silicon photonics quanto alla implementazione di dispositivi ottici in tecnologie compatibili con i normali processi di fabbricazione CMOS hanno dischiuso nuove opportunità applicative nell ambito della comunicazione on-chip. Difatti, le interconnessioni ottiche forniscono un mezzo di comunicazione a bande inarrivabili per le tradizionali interconnessioni elettriche. Inoltre, ci sono altri potenziali vantaggi (consumo di potenza, latenza) che necessitano però di essere comprovati sul campo, dal momento che anche le interconnessioni ottiche presentano costi fissi non trascurabili. Questa attività di ricerca è nata per ovviare alla mancanza di studi strutturati e accurati sulla riorganizzazione architetturale di una infrastruttura di comunicazione on-chip attorno alla tecnologia ottica. Difatti, gli studi preesistenti tendevano a sottovalutare parametri di fondamentale importanza quali i vincoli di layout, o la complessità delle interfacce di rete. Si è così proceduto alla esplorazione dello spazio di progetto di topologie per reti ottiche che garantiscono connettività globale in assenza di contesa. Lo si è fatto con l obiettivo di analizzare le implicazioni sulle conclusioni dello studio topologico dei vincoli di floorplanning, placement e routing nell ambito di un sistema a stacking 3D. Il perseguimento di questo obiettivo ha richiesto una lunga marcia di avvicinamento: simulazioni FDTD per la caratterizzazione fisica dei dispositivi, astrazione di un modello black-box per la integrazione in tool per la simulazione architetturale, sviluppo di modelli per il consumo di potenza dei dispositivi ottici. Tra i risultati più interessanti, vale la pena notare la quantificazione del design predictability gap tra schemi logici astratti (topologie logiche) e il corrispondente layout fisico. Inoltre, sono state messe a punto diverse metodologie in grado di contenere questo gap (specialmente, l utilizzo di network partitioning, oppure del broadband passive switching). Infine, sono state proposte topologie innovative in grado di garantire la connettività con un grado di predicibilità delle proprietà fisiche superiore rispetto allo stato dell arte. L attività di ricerca è stata svolta in modo continuativo e sinergico con il Prof. Gaetano Bellanca dell Università di Ferrara, ed in parte in collaborazione con il Prof. Luca Carloni della Columbia University di New York (USA). Inoltre, essa si situa all interno della attività del consorzio PHOTONICA (di cui sono coordinatore nazionale), finanziato dal governo italiano mediante il programma FIRB-Futuro in Ricerca In quanto tale, ha comportato la collaborazione con i partner: Università di Siena, Politecnico di Bari. CAD per tecnologie emergenti. Dare concreta applicazione ad una nuova tecnologia nell ambito dei sistemi integrati multicore non consiste solamente nel curarne la maturità tecnologica, o nello sviluppare architetture idonee allo sfruttamento delle proprietà tecnologiche, ma anche (e soprattutto) sviluppare una serie di strumenti CAD che rendano la tecnologia utilizzabile dai progettisti di sistemi. In ambito di reti ottiche integrate, il principale di questi strumenti è certamente un tool per il place&route automatico delle guide d onda su substrato SOI. A tal fine, i tradizionali strumenti di routing per le reti elettriche non sono riutilizzabili, in quanto cambiano completamente i vincoli, le proprietà tecnologiche, ma soprattutto la funzione obiettivo: minimizzare il numero degli incroci tra guide, dove si ha la maggior dispersione di potenza ottica utile. Di conseguenza, questa attività di ricerca ha perseguito ed ottenuto lo sviluppo di un tool di place&route (PROTON) che è stato applicato con successo alla sintesi fisica di reti ottiche globali di media complessità. Per reti di diverse centinaia di nodi, il problema non è il tool, ma la mancanza di uno strumento automatico per la definizione del suo file di ingresso, che viene attualmente prodotto a mano. Questo contributo di ricerca rappresenta una milestone nel campo degli strumenti CAD per optical network-on-chip design, e rappresenta lo strumento attorno al quale verrà sviluppata in futuro la metodologia di sintesi completa ed automatizzata. Parallelamente, si è iniziato ad investigare la presenza di proprietà ricorrenti nelle topologie di rete finora proposte in

6 letteratura, al fine di identificare un algoritmo per la loro sintesi automatica. A tal fine, si è scoperta una concettualizzazione comune ortogonale alle varie topologie, nonchè una metodologia con cui ottenere i design point attualmente conosciuti. La metodologia ricalca incredibilmente quella utilizzata per la sintesi logica dei circuiti elettronici. Finora, si è dimostrato come si possa con facilità ottenere delle varianti topologiche ancora inesplorate, anche se la definizione delle loro metriche di qualità è lasciata come sviluppo futuro. Le attività di ricerca in questi ambiti sono svolte in stretta collaborazione con la Technical University Munich (Germania). Esplorazione dello spazio di progetto di dispositivi di memoria SSD. Gli SSD (Solid State Drive, unità a stato solido) sono degli 'Hard Disk' di nuova generazione, più simili per un certo verso ai pen drive USB che agli Hard Disk meccanici tradizionali, dal momento che scrivono su delle memorie flash e non su un disco vero e proprio. Il vantaggio più evidente è la velocità, soprattutto nel caso di lettura/scrittura di piccoli file. Anche l'ssd più scadente, infatti, è con i piccoli file di almeno 6-7 volte (fino a 20 volte e oltre) più veloce dell'hard Disk Sata 2 più rapido (il margine di vantaggio è minore in caso di grossi file, per quanto risultino lo stesso più veloci). E' chiaro dunque che operazioni come l'avvio del computer, l'installazione o l'esecuzione di programmi complessi (o giochi), e tutte le operazioni simili, divengano assai più veloci rispetto ad un Hard Disk tradizionale. Attualmente esiste uno sforzo di ricerca a livello mondiale di tipo preindustriale per la esplorazione dello spazio di progetto degli SSD. Tuttavia, la letteratura si accontenta di strumenti per la simulazione astratta, orientati alla simulazione funzionale complessiva dell intero sistema. La nostra attività di ricerca parte invece dalla consapevolezza del fatto che una esplorazione dello spazio di progetto della architettura degli SSD richiede un congruo abbassamento del livello di astrazione, rinunciando alla simulazione funzionale ma consentendo al contempo la caratterizzazione di metriche più caratterizzanti la microarchitettura in sè piuttosto che le sue implicazioni applicative. Per perseguire questo obiettivo, si è sviluppata una infrastruttura di simulazione SSD con livelli di astrazione misti in SystemC. Per ogni sottocomponente architetturale è stato scelto il livello di astrazione più idoneo nell ottica del compromesso velocità-accuratezza. Il nuovo strumento esplorativo ha consentito di evidenziari fenomeni del secondo ordine nella performance degli SSD, nonchè la parziale inaccuratezza degli strumenti open source per la simulazione funzionale. Il lavoro è stato svolto in collaborazione con il Prof. Olivo e l Ing. Zambelli dell Università di Ferrara. Educazione alle nuove tecnologie nella scuola primaria. La diffusione di dispositivi elettronici ad elevato contenuto multimediale tra i giovani sta motivando l introduzione di corsi e/o iniziative legati(e) alla scienza dei computer nella scuola secondaria a livello internazionale. Tuttavia, la attuale impostazione di questo insegnamento, orientata alla acquisizione di obiettivi formativi, presenta evidenti limiti di scarsa completezza educativa. Difatti, competenze legate alla persona, alla sua capacità di conoscenza e alle sue attitudini sociali (quali la motivazione, la curiosità, la capacità di lavoro in gruppo, la capacità di derivare conclusioni da evidenze sperimentali,..), benchè in larga parte ignorate dai suddetti corsi, si producono automaticamente per effetto degli stessi corsi (cfr. Dewey). Dunque, diventa di particolare importanza avere un controllo esplicito sulle implicazioni su tali competenze, specialmente quando si miri ad estendere la educazione alle nuove tecnologie alla scuola primaria, laddove si pongono le basi permanenti per la maturazione delle attitudini personali. Infine, occorre prestare attenzione a non equivocare l educazione alle nuove tecnologie con il loro mero utilizzo funzionale ad altre discipline (digital literacy). Per questo scopo, questa nuova attività di ricerca mira a realizzare nell ambito della scuola primaria delle miniesperienze di ricerca condotte in classe da ricercatori in ingegneria elettronica. Per evitare la separazione del metodo di apprendimento dal suo oggetto, la ricerca verterà su un prototipo funzionale su FPGA di processore integrato multicore, interconnesso mediante network-on-chip. Al fine di superare l evidente problema del gap di conoscenze degli studenti per affrontare la ricerca in oggetto, la collaborazione tra ingegneri e pedagogisti mirerà a risolvere il problema mediante la applicazione dell approccio narrativo al campo della computer architecture. In dettaglio, verrà elaborata una opportuna metafora a livello narrativo delle reti di interconnessioni integrate, per

7 esempio le reti stradali. La struttura figurativa elaborata verrà allineata ai vincoli che regolano il mondo fisico subnanometrico, e lasciata aperta (story plot), nel senso che i dettagli narrativi verranno aggiunti dagli studenti nel corso della attività di ricerca in classe in risposta ad una domanda formulata loro dal ricercatore. Questa attività è attualmente nelle sue primissime fasi iniziali, e si svolge in collaborazione con il gruppo di pedagogia dell Università di Verona. SCHEDA RIASSUNTIVA DEI RISULTATI DELLA RICERCA NEL TRIENNIO DI RIFERIMENTO Pubblicazioni: - 27 pubblicazioni sui proceedings di conferenze internazionali - 10 pubblicazioni su riviste internazionali peer-reviewed - 3 capitoli su libro 2 Best-Paper Awards: - IEEE 6 th Int. Symposium on Embedded Multicore SoCs, September th Int. Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, July High-impact paper award: - IEEE Int. Conf. On Computer Design, october 2012 (in recognition of one of the most-cited papers of ICCD s first 30 years). 2 prototipi pre-industriali: - Piattaforma multicore (16 core) su ev707 evaluation board di Xilinx Virtex-7 capace di boot-time testing, fault-tolerance e virtualizzazione. - Flusso di sintesi completo ed interoperabile per application-specific NoC su tecnologia Infineon da 45nm, applicato ad applicazione di TV ad alta definizione per sistemi smart portabili. Finanziamenti: - Assegnazione del FIRB 2008 (ma iniziato a dicembre 2010, attualmente in corso) in qualità di coordinatore nazionale del Progetto PHOTONICA euro. - Finanziamento come responsabile di unità del progetto europeo NaNoC (FP7), dal al euro. - Finanziamento mediante partecipazione come membro esterno al progetto europeo virtical (FP7), dal al circa euro. ATTIVITA DIDATTICA Corso di Elettronica Analogica Applicata a.a. 2010/2011 Corso di Architetture dei Sistemi Digitali a.a. 2011/2012 Corso di Strumentazione e Misure Elettroniche a.a. 2012/2013 Organizzazione di summer school - Summer school on Networks-on-Chip, Monaco, presso Intel Mobile Comm., giugno 2012.

8 Keynote tenuti: - Keynote tenuto al 3rd Workshop on Communication Architectures for Scalable Systems 2013, Boston (USA), dal titolo "Optical Interconnection Networks on the Way from Concept to Technology". Attività Editoriale - Membro dell'editorial Board della rivista "IET Computers and Digital Techniques" (dal 11/2008 all 11/2014) - Associate Editor per la tematica "System-Level Synthesis, SoC design, and Networks on Chip" della rivista "ACM Transactions on Design Automation of Electronic Systems" (dal 02/2012 al 02/2015) - Membro dell'editorial Board della rivista "Springer Journal of Design Automation for Embedded Systems Studenti di dottorato supervisionati (nel periodo della relazione): - Alessandro Strano (dottorato conseguito durante il triennio) - Daniele Ludovici (dottorato in co-tutela conseguito durante il triennio presso TU Delft, Olanda) - Ramini Luca (in corso) - Hervè Fankem Tatenguem (in corso) - Ghiribaldi Alberto (in corso) - Balboni Marco (in corso) Supervisione di tesi di laurea: - Giuseppe Cota (triennale) - La Porta Emmanuele (triennale) - Bernard Rosevelt Fanken (triennale) - Maurizio Lo Piccolo (triennale) - Paolo Cantadori (triennale) - Michele Selvatici (triennale) - Federico Tagliatti (triennale) - Piva Jury (triennale) - Lara Forlani (triennale) - Fabio Lanzoni (triennale) - Emanuele Furdiani (triennale) - Alice Renda (triennale) - Terenzi Simone (triennale) - Celin Alberto (triennale) - Manelli Fabio (triennale) - Nicola Caselli (triennale) - Miorandi Gabriele (specialistica) - Lorenzo Zuolo (specialistica, correlatore) - Ferraresi Marco (specialistica) - Marco Balboni (specialistica) - Giuseppina Gobbo (specialistica)

9 Supervisione di internship di studenti stranieri presso il Dipartimento di Ingegneria di Ferrara: - Francisco Trivino (3 mesi, Università di Castilla La-Mancha, Spagna) - José Luis Miguel Abellan (3 mesi, Università di Murcia, Spagna) - Marta Ortin Obon (4 mesi, Università di Saragozza, Spagna) Corsi di Master tenuti all estero: - Corso di 1 settimana su Network-on-Chip tenuto nell ambito del Master ALaRI (Advanced Learning and Research Institute) Università di Lugano (Svizzera), Corso di 1 settimana su Network-on-Chip tenuto nell ambito del Master AlaRI (Advanced Learning and Research Institute) Università di Lugano (Svizzera), 2013 Altre - Membro della Hipeac Network-of-Excellence (European Network of Excellence on High Performance and Embedded Architecture and Compilation) - Come riconoscimento dell attività svolta da Bertozzi nell ambito Network-on-Chip, lo Steering Committee dell Int. Symposium on Networks-on-Chip, nel suo meeting a Copenhagen nel 2012, ha ufficialmente accettato la candidatura di Bertozzi quale General Chair del symposium per l edizione 2014, da tenersi a Ferrara in settembre. - Riprendendo i criteri seguiti per le abilitazioni nazionali, si riportano le metriche di Bertozzi per il settore scientifico-disciplinare 09/E3: - numero di citazioni normalizzato: > h-index contemporaneo: 12 > 7 - no pubblicazioni rivista: 26 > 23 I risultati delle abilitazioni per i settori 9/E3 (abilitazione 2012) e 9/H1 (abilitazione 2013) non sono ancora stati resi pubblici Contributo in Atti di convegno PUBBLICAZIONI SU CONFERENCE PROCEEDINGS A.Boos, L.Ramini, U.Schichtmann, D.Bertozzi, PROTON: an automatic place-and-route tool for optical networks-on-chip. ICCAD 2013: Contributo in Atti di convegno Luca Ramini, Paolo Grani, Sandro Bartolini, Davide Bertozzi (2013). Contrasting wavelength-routed optical NoC topologies for power-efficient 3D-stacked multicore processors using physical-layer analysis. In: Proceedings of the Conference on Design, Automation and Test in Europe p , San Jose: EDA Consortium, Grenoble Contributo in Atti di convegno Alberto Ghiribaldi, Davide Bertozzi, Steven M. Nowick (2013). A transition-signaling bundled data NoC switch architecture for cost-effective GALS multicore systems. In: Proceedings of the Conference on Design, Automation and Test in Europe p , San Josè: EDA Consortium, Grenoble, doi: /DATE Contributo in Atti di convegno

10 F. Triviño, D. Bertozzi, J.Flich (2013). A fast algorithm for runtime reconfiguration to maximize the lifetime of nanoscale NoCs. In: 2013 Interconnection Network Architecture: On-Chip, Multi-Chip, IMA-OCMC p. 1-4, ACM / Association for Computing Machinery:1515 Broadway, 17th Floor:New York, NY 10036:(212) , acmhelp@hq.acm.org, INTERNET: Fax: (212) , Berlino, doi: / Contributo in Atti di convegno C. Zambelli, M. Indaco, M. Fabiano, S. Di Carlo, P. Prinetto, P. Olivo, D. Bertozzi (2012). A Cross-Layer Approach for New Reliability-Performance Trade-Offs in MLC NAND Flash Memories. In: -. Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, March 2012, p , Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012, ISBN: Contributo in Atti di convegno Ramini Luca, Carloni Luca, Bertozzi Davide (2012). Engineering a Bandwidth-Scalable Optical Layer for a 3D Multi-core Processor with Awareness of Layout Constraints. In: -. Networks on Chip (NoCS), 2012 Sixth IEEE/ACM International Symposium on. p , IEEE, ISBN: , Copenhagen, 9-11 May 2012, doi: /NOCS Contributo in Atti di convegno Ramini Luca, Bertozzi Davide (2012). The Design Predictability Concern in Optical Network-on-Chip Design. In: Asia Communications and Photonics Conference, OSA Technical Digest (online). OSA - Optical Society of America, Guangzhou, China, November 7, Contributo in Atti di convegno Vladimir Todorov, Alberto Ghiribaldi, Helmut Reinig, Davide Bertozzi, Ulf Schlichtmann (2012). Nonintrusive trace & debug NoC architecture with accurate timestamping for GALS SoCs. In: Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis. p , ACM/IEEE, ISBN: , Tampere, 7-12 October 2012, doi: / Contributo in Atti di convegno Alberto Ghiribaldi, Alessandro Strano, Michele Favalli, Davide Bertozzi (2012). Power efficiency of switch architecture extensions for fault tolerant NoC design. In: -. Green Computing Conference (IGCC), 2012 International. p. 1-6, IEEE, ISBN: , San Josè, 4-8 June 2012, doi: /IGCC Contributo in Atti di convegno J.L. Abellan, J. Fernandez, M.E. Acacio, D.Bertozzi, D.Bortolotti, A.Marongiu, L.Benini (2012). Design of a collective communication infrastructure for barrier synchronization in cluster-based nanoscale MPSoCs. In: -. Design, Automation & Test in Europe Conference & Exhibition (DATE), p , IEEE, ISBN: , Dresden, March Contributo in Atti di convegno Simone Terenzi, Alessandro Strano, Davide Bertozzi (2012). Optimizing built-in pseudo-random self-testing for network-on-chip switches. In: -. INA-OCMC '12 Proceedings of the 2012 Interconnection Network

11 Architecture: On-Chip, Multi-Chip Workshop. p , ACM / Association for Computing Machinery:1515 Broadway, 17th Floor:New York, NY 10036:(212) , acmhelp@hq.acm.org, INTERNET: Fax: (212) , ISBN: , Paris, January 25th, 2012, doi: / Contributo in Atti di convegno A. Strano, D. Bertozzi, F. Angiolini, L. Di Gregorio, F. O. Sem-Jacobsen, V. Todorov, J. Flich, F. Silla, T. Bjerregaard (2012). Quest for the ultimate network-on-chip: the NaNoC project. In: -. INA-OCMC '12 Proceedings of the 2012 Interconnection Network Architecture: On-Chip, Multi-Chip Workshop. p , ACM / Association for Computing Machinery:1515 Broadway, 17th Floor:New York, NY 10036:(212) , acmhelp@hq.acm.org, INTERNET: Fax: (212) , ISBN: , Paris, January 25th, 2012, doi: / Contributo in Atti di convegno Alessandro Strano, Davide Bertozzi, José Flich, Francisco Trivino, J.L.Sànchez (2012). OSR-Lite: Fast and Deadlock-Free NoC Reconfiguration Framework. In: -. Embedded Computer Systems: Architectures, Modeling, and Simulation IEEE International Conference (IC-SAMOS 2012). IEEE, ISBN: , Samos, July 16-19, Contributo in Atti di convegno N.Caselli, A.Strano, D.Ludovici, D.Bertozzi (2012). Cooperative Built-In Self-Testing and Self-Diagnosis of NoC Bisynchronous Channels. In: IEEE 6th International Symposium on Embedded Multicore SoCs. p , IEEE, Fukushima, september 20-21, Contributo in Atti di convegno H.F. Tatenguem, A.Strano, G.Govind, J.Raik, D.Bertozzi (2012). Ultra-Low Latency NoC testing via Pseudo- Random Test Pattern Compaction. In: International Symposium on System-on-Chip p , IEEE, ISBN: , Tampere, October 11-12, Contributo in Atti di convegno Davide Bertozzi, Luca Benini (2012). A Retrospective Look at Xpipes: The Exciting Ride from a Design Experience to a Design Platform for Nanoscale Networks-on-Chip. In: The 30th IEEE International Conference on Computer Design. p , IEEE, Montreal, Sep 30, Oct 3, 2012, doi: /ICCD Contributo in Atti di convegno Luca Ramini, Davide Bertozzi (2012). Power efficiency of wavelength-routed optical NoC topologies for global connectivity of 3D multi-core processors. In: NoCArc '12 Proceedings of the Fifth International Workshop on Network on Chip Architectures. p , New York:ACM / Association for Computing Machinery:1515 Broadway, 17th Floor:New York, NY 10036:(212) , acmhelp@hq.acm.org, INTERNET: Fax: (212) , doi: / Contributo in Atti di convegno

12 Samuel Rodrigo, Frank Olaf Sem-Jacobsen, Hervé Tatenguem, Tor Skeie, Davide Bertozzi (2012). Cost- Effective Contention Avoidance in a CMP with Shared Memory Controllers. In: Euro-Par 2012: Parallel Processing. LECTURE NOTES IN COMPUTER SCIENCE, p , Springer Berlin Heidelberg, ISBN: , ISSN: , doi: / _ Contributo in Atti di convegno M. Dall'Osso, G. Biccari, L. Giovannini, D. Bertozzi, L. Benini (2012). Xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs. In: 2012 IEEE 30th International Conference on Computer Design, ICCD p , ACM/IEEE, Montreal Contributo in Atti di convegno A. Strano, C. G. Requena, D. Ludovici, M. E. Gomez, M. Favalli, D. Bertozzi (2011). Exploiting Network-on- Chip Structural Redundancy for A Cooperative and Scalable Built-In Self-Test Architecture. In: Design, Automation & Test in Europe Conference & Exhibition (DATE), p , ACM/IEEE, ISBN: , Grenoble, 01/03/ Contributo in Atti di convegno D. Ludovici, A. Strano, G. Gaydadjiev, D. Bertozzi (2011). Mesochronous NoC Technology for Power-Efficient GALS MPSoC. In: Proceedings of the Fifth ACM Interconnection Network Architecture, On-Chip Multi-Chip Workshop (INA-OCMC). p , ACM, ISBN: , Creta, Grecia, 23/01/2011, doi: / Contributo in Atti di convegno A.Parini, L.Ramini, G.Bellanca, D.Bertozzi (2011). Abstract Modelling of Switching Elements for Optical Networks-on-Chip with Technology Platform Awareness. In: Proceeding of the Fifth HiPEAC Workshop on Interconnection Network Architecture OnChip, MultiChip INA-OCMC p , ACM, ISBN: , Heraklion, , doi: / Contributo in Atti di convegno M. Ferraresi, G. Gobbo, D. Ludovici, D. Bertozzi (2011). Bringing Network-on-Chip Links to 45nm. In: -. International Symposium on System-on-Chip (SOC11). p , IEEE, ISBN: , Tampere, 31/10/ /11/2011, doi: /ISSOC Contributo in Atti di convegno M. Krstić, X. Fan, E. Grass, L. Benini, M. R. Kakoee, C. Heer, B. Sanders, A. Strano, D. Bertozzi (2011). Moonrake Chip - GALS Demonstrator in 40 nm CMOS Technology. In: -. International Symposium on System-on-Chip (SOC11). p. 9-13, ACM/IEEE, ISBN: , Tampere, 31/10/ /11/2011, doi: /ISSOC Contributo in Atti di convegno A. Strano, D. Bertozzi, A. Grasset, S. Yehia (2011). Exploiting structural redundancy of SIMD accelerators for their built-in self-testing/diagnosis and reconfiguration.. In: -. Application-Specific Systems, Architectures and Processors (ASAP), 2011 IEEE International Conference on. p , ACM/IEEE, ISBN: , SANTA MONICA, 11/09/ /09/2011, doi: /ASAP

13 Contributo in Atti di convegno A. Ghiribaldi, D. Ludovici, M. Favalli, D. Bertozzi (2011). System-Level Infrastructure for Boot-time Testing and Configuration of Networks-on-Chip with Programmable Routing Logic. In: -. IEEE/IFIP 19th International Conference on VLSI and System-on-Chip (VLSI-SoC). p , IEEE, ISBN: , Hong Kong, 03/10/ /10/2011, doi: /VLSISoC Contributo in Atti di convegno H.F. Tatenguem, D. Ludovici, A. Strano, H. Reinig, D. Bertozzi (2011). Contrasting Multi-Synchronous MPSoC Design Styles for Fine-Grained Clock Domain Partitioning: the Full-HD Video Playback Case Study. In: -. Proceedings of the 4th International Workshop on Network on Chip Architectures. p , New York:ACM / Association for Computing Machinery:1515 Broadway, 17th Floor:New York, NY 10036:(212) , acmhelp@hq.acm.org, INTERNET: Fax: (212) , ISBN: , Porto Alegre, 04/12/2011, doi: / Articolo in rivista PUBBLICAZIONI SU JOURNAL PAPERS Frank Olaf Sem-Jacobsen, Samuel Rodrigo Mocholi, Alessandro Strano, Tor Skeie, Davide Bertozzi. (2013). An Efficient, Low-Cost Routing Framework for Convex Mesh Partitions to Support Virtualisation. ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS, vol. 12, p. 107:1-107:24, ISSN: , doi: / Articolo in rivista A. Ghiribaldi, D. Ludovici, F. Trivino, A. Strano, J. Flich, J. L. Sanchez, F. Alfaro, M. Favalli, D. Bertozzi (2013). A Complete Self-Testing and Self-Configuring NoC Infrastructure for Cost-Effective MPSoCs. ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS, vol. 12, p. 106:1-106:29, ISSN: , doi: / Articolo in rivista Alessandro Strano, Simone Terenzi, Nicola Caselli, Davide Bertozzi (2013). Optimizing Pseudo-Random Built- In Self-Testing of Fully Synchronous as well as Multisynchronous Networks-on-Chip. IET COMPUTERS & DIGITAL TECHNIQUES, vol. 7, p , ISSN: , doi: /iet-cdt Articolo in rivista F. O. Sem-Jacobsen, S. Rodrigo, A. Strano, T. Skeie, F. Gilabert, D. Bertozzi (2013). Enabling Power Efficiency through Dynamic Rerouting on-chip. ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS, vol. 12, p. 111:1-111:23, ISSN: , doi: / Articolo in rivista Alberto Parini, Luca Ramini, Fabio Lanzoni, Gaetano Bellanca, Davide Bertozzi (2012). Bottom-Up Abstract Modelling of Optical Networks-on-Chip: From Physical to Architectural Layer. INTERNATIONAL JOURNAL OF OPTICS, vol. 2012, p. ID ID , ISSN: , doi: /2012/902849

14 Articolo in rivista Milos Krstic, Xin Fan, Eckhard Grass, Luca Benini, M. R. Kakoee, Christoph Heer, Birgit Sanders, Alessandro Strano, Davide Bertozzi (2012). Evaluation of GALS Methods in scaled CMOS Technology - Moonrake Chip Experience. INTERNATIONAL JOURNAL OF EMBEDDED AND REAL-TIME COMMUNICATION SYSTEMS, vol. 3, p. 1-18, ISSN: , doi: /jertcs Articolo in rivista C. Zambelli, D. Bertozzi, A. Chimenton, P. Olivo (2011). Non Volatile Memory Partitioning Scheme for Technology-based Performance-Reliability Trade-off. IEEE EMBEDDED SYSTEMS LETTERS, vol. 3, p , ISSN: , doi: /LES Articolo in rivista Paci G., Bertozzi D., Benini L. (2011). Variability compensation for full-swing against low-swing on-chip communication. IET COMPUTERS & DIGITAL TECHNIQUES, vol. 5, p , ISSN: , doi: /iet-cdt Articolo in rivista S. Rodrigo, J. Flich, A. Roca, S. Medardoni, D. Bertozzi, J. Camacho, F. Silla, J. Duato (2011). Cost-Efficient On-Chip Routing Implementations for CMP and MPSoC Systems. IEEE TRANSACTIONS ON COMPUTER- AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, vol. 30, p , ISSN: , doi: /TCAD Articolo in rivista A. Strano, C. Hernandez, F. Silla, D. Bertozzi (2011). Self-Calibrating Source Synchronous Communication for Delay Variation Tolerant GALS Network-on-Chip Design. INTERNATIONAL JOURNAL OF EMBEDDED AND REAL-TIME COMMUNICATION SYSTEMS, vol. 2, p. 1-20, ISSN: , doi: /jertcs PUBBLICAZIONI SU BOOK CHAPTERS Contributo in volume (Capitolo o Saggio) Olav Lysne, Torsten Hoefler, Pedro López, Davide Bertozzi. (2013). Topic 13: High-Performance Networks and Communication - Introduction.. In: (a cura di): Felix Wolf, Bernd Mohr, Dieter an Mey, EURO-PAR 2013: Parallel Processing. vol. 8097, p. 684, Heidelberg: Springer Berlin Heidelberg, ISBN: , doi: / Contributo in volume (Capitolo o Saggio) D. Bertozzi, A. Strano, F. Gilabert, D. Ludovici (2012). Technology-Aware Communication Architecture Design for Parallel Hardware Platforms. In: (a cura di): Krzysztof Iniewski, Advanced Circuits for Emerging Technologies. p , -JOHN WILEY & SONS INC, 111 RIVER ST, HOBOKEN, USA, NJ, 07030, doi: / Contributo in volume (Capitolo o Saggio)

15 Olav Lysne, Torsten Hoefler, Pedro López, and Davide Bertozzi. Topic 13: High-Performance Networks and Communication - Introduction. Euro-Par, volume 8097 of Lecture Notes in Computer Science, page 684. Springer, (2013) Ferrara, 15 gennaio 2014

ARCHITETTURE DI SISTEMI INTEGRATI PER APPLICAZIONI SPECIFICHE. Design Flow

ARCHITETTURE DI SISTEMI INTEGRATI PER APPLICAZIONI SPECIFICHE. Design Flow ARCHITETTURE DI SISTEMI INTEGRATI PER APPLICAZIONI SPECIFICHE Design Flow Prof. Luigi Raffo Dipartimento di ingegneria elettrica ed elettronica Università di Cagliari Flusso di progetto classico su silicio

Dettagli

TECNICO SUPERIORE PER L AUTOMAZIONE INDUSTRIALE

TECNICO SUPERIORE PER L AUTOMAZIONE INDUSTRIALE ISTRUZIONE E FORMAZIONE TECNICA SUPERIORE SETTORE INDUSTRIA E ARTIGIANATO TECNICO SUPERIORE PER L AUTOMAZIONE INDUSTRIALE STANDARD MINIMI DELLE COMPETENZE TECNICO PROFESSIONALI DESCRIZIONE DELLA FIGURA

Dettagli

Università degli Studi di Salerno

Università degli Studi di Salerno Università degli Studi di Salerno Facoltà di Scienze Matematiche Fisiche e Naturali Corso di Laurea in Informatica Tesi di Laurea Algoritmi basati su formule di quadratura interpolatorie per GPU ABSTRACT

Dettagli

Agenti Mobili Intelligenti e Sicurezza Informatica Utilizzare un nuovo paradigma applicativo per la realizzazione di sistemi informatici sicuri.

Agenti Mobili Intelligenti e Sicurezza Informatica Utilizzare un nuovo paradigma applicativo per la realizzazione di sistemi informatici sicuri. Agenti Mobili Intelligenti e Sicurezza Informatica Utilizzare un nuovo paradigma applicativo per la realizzazione di sistemi informatici sicuri. Roma, 25 ottobre 2010 Ing. Antonio Salomè Ing. Luca Lezzerini

Dettagli

MESA PROJECT ITIS G. Cardano Pavia New Curriculum

MESA PROJECT ITIS G. Cardano Pavia New Curriculum MESA PROJECT ITIS G. Cardano Pavia New Curriculum L'impatto delle innovazioni educative del Progetto MESA ha portato alcuni cambiamenti significativi e miglioramenti nel curriculum dei seguenti argomenti:

Dettagli

Generazione Automatica di Asserzioni da Modelli di Specifica

Generazione Automatica di Asserzioni da Modelli di Specifica UNIVERSITÀ DEGLI STUDI DI MILANO BICOCCA FACOLTÀ DI SCIENZE MATEMATICHE FISICHE E NATURALI Corso di Laurea Magistrale in Informatica Generazione Automatica di Asserzioni da Modelli di Specifica Relatore:

Dettagli

Situation AWare Security Operations Center (SAWSOC) Topic SEC-2012.2.5-1 Convergence of physical and cyber security. Relatore: Alberto Bianchi

Situation AWare Security Operations Center (SAWSOC) Topic SEC-2012.2.5-1 Convergence of physical and cyber security. Relatore: Alberto Bianchi Situation AWare Security Operations Center (SAWSOC) Relatore: Alberto Bianchi Topic SEC-2012.2.5-1 Convergence of physical and cyber security Coordinatrice di Progetto: Anna Maria Colla annamaria.colla@selexelsag.com

Dettagli

La gestione di un calcolatore. Sistemi Operativi primo modulo Introduzione. Sistema operativo (2) Sistema operativo (1)

La gestione di un calcolatore. Sistemi Operativi primo modulo Introduzione. Sistema operativo (2) Sistema operativo (1) La gestione di un calcolatore Sistemi Operativi primo modulo Introduzione Augusto Celentano Università Ca Foscari Venezia Corso di Laurea in Informatica Un calcolatore (sistema di elaborazione) è un sistema

Dettagli

IL PROCESSO DI FABBRICAZIONE (sviluppo nuovo prodotto)

IL PROCESSO DI FABBRICAZIONE (sviluppo nuovo prodotto) CORSO DI Gestione aziendale Facoltà di Ingegneria IL PROCESSO DI FABBRICAZIONE (sviluppo nuovo prodotto) Carlo Noè Università Carlo Cattaneo Istituto di Tecnologie e-mail: cnoe@liuc.it 1 Il processo di

Dettagli

Dispensa di Informatica I.1

Dispensa di Informatica I.1 IL COMPUTER: CONCETTI GENERALI Il Computer (o elaboratore) è un insieme di dispositivi di diversa natura in grado di acquisire dall'esterno dati e algoritmi e produrre in uscita i risultati dell'elaborazione.

Dettagli

Elettronica dei Sistemi Programmabili

Elettronica dei Sistemi Programmabili Elettronica dei Sistemi Programmabili Introduzione Stefano Salvatori Università degli Studi Roma Tre (stefano.salvatori@uniroma3.it) Università degli Studi Roma Tre Elettronica dei Sistemi Programmabili

Dettagli

Via Don Angelo Scapin, 36 I-35020 Roncaglia di Ponte San Nicolò (PD) ITALIA Phone/Fax: +39 049 719065 - info@spinips.com www.spinips.

Via Don Angelo Scapin, 36 I-35020 Roncaglia di Ponte San Nicolò (PD) ITALIA Phone/Fax: +39 049 719065 - info@spinips.com www.spinips. Via Don Angelo Scapin, 36 I-35020 Roncaglia di Ponte San Nicolò (PD) ITALIA Phone/Fax: +39 049 719065 - info@spinips.com www.spinips.com STUDI E VERIFICHE DI FATTIBILITÀ... 2 PROGETTAZIONE MECCANICA...

Dettagli

Sistema operativo: Gestione della memoria

Sistema operativo: Gestione della memoria Dipartimento di Elettronica ed Informazione Politecnico di Milano Informatica e CAD (c.i.) - ICA Prof. Pierluigi Plebani A.A. 2008/2009 Sistema operativo: Gestione della memoria La presente dispensa e

Dettagli

Università degli Studi di Ferrara

Università degli Studi di Ferrara Al MAGNIFICO RETTORE SEDE Iniziative di Internazionalizzazione di Ateneo Anno 2011 Scheda per la presentazione del progetto Il sottoscritto prof. Eleonora Luppi chiede l assegnazione di un contributo di

Dettagli

11. Evoluzione del Software

11. Evoluzione del Software 11. Evoluzione del Software Andrea Polini Ingegneria del Software Corso di Laurea in Informatica (Ingegneria del Software) 11. Evoluzione del Software 1 / 21 Evoluzione del Software - generalità Cosa,

Dettagli

Progetto Atipico. Partners

Progetto Atipico. Partners Progetto Atipico Partners Imprese Arancia-ICT Arancia-ICT è una giovane società che nasce nel 2007 grazie ad un gruppo di professionisti che ha voluto capitalizzare le competenze multidisciplinari acquisite

Dettagli

Introduzione. Classificazione di Flynn... 2 Macchine a pipeline... 3 Macchine vettoriali e Array Processor... 4 Macchine MIMD... 6

Introduzione. Classificazione di Flynn... 2 Macchine a pipeline... 3 Macchine vettoriali e Array Processor... 4 Macchine MIMD... 6 Appunti di Calcolatori Elettronici Esecuzione di istruzioni in parallelo Introduzione... 1 Classificazione di Flynn... 2 Macchine a pipeline... 3 Macchine vettoriali e Array Processor... 4 Macchine MIMD...

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 PRESTAZIONI DEL CALCOLATORE Massimiliano Giacomin Due dimensioni Tempo di risposta (o tempo di esecuzione): il tempo totale impiegato per eseguire un task (include

Dettagli

La tecnologia cloud computing a supporto della gestione delle risorse umane

La tecnologia cloud computing a supporto della gestione delle risorse umane La tecnologia cloud computing a supporto della gestione delle risorse umane L importanza delle risorse umane per il successo delle strategie aziendali Il mondo delle imprese in questi ultimi anni sta rivolgendo

Dettagli

Scheduling della CPU. Sistemi multiprocessori e real time Metodi di valutazione Esempi: Solaris 2 Windows 2000 Linux

Scheduling della CPU. Sistemi multiprocessori e real time Metodi di valutazione Esempi: Solaris 2 Windows 2000 Linux Scheduling della CPU Sistemi multiprocessori e real time Metodi di valutazione Esempi: Solaris 2 Windows 2000 Linux Sistemi multiprocessori Fin qui si sono trattati i problemi di scheduling su singola

Dettagli

Sommario. Introduzione 1

Sommario. Introduzione 1 Sommario Introduzione 1 1 Il Telecontrollo 1.1 Introduzione... 4 1.2 Prestazioni di un sistema di Telecontrollo... 8 1.3 I mercati di riferimento... 10 1.3.1 Il Telecontrollo nella gestione dei processi

Dettagli

12. Evoluzione del Software

12. Evoluzione del Software 12. Evoluzione del Software Andrea Polini Ingegneria del Software Corso di Laurea in Informatica (Ingegneria del Software) 12. Evoluzione del Software 1 / 21 Evoluzione del Software - generalità Cosa,

Dettagli

Apprendimento informale e dispositivi mobili: il nuovo approccio alla formazione

Apprendimento informale e dispositivi mobili: il nuovo approccio alla formazione 21/3/2013 Apprendimento informale e dispositivi mobili: il nuovo approccio alla formazione In parallelo all evoluzione della tecnologia, sottolineata oggi dall ascesa dei dispositivi mobili, è in corso

Dettagli

Ambienti di calcolo a griglia Parte 2. Risorse (e loro gestione) Job di griglia e applicazioni di griglia Riservare le risorse ai job

Ambienti di calcolo a griglia Parte 2. Risorse (e loro gestione) Job di griglia e applicazioni di griglia Riservare le risorse ai job Ambienti di calcolo a griglia Parte 2 Risorse (e loro gestione) Job di griglia e applicazioni di griglia Riservare le risorse ai job Docente: Marcello CASTELLANO La vera rivoluzione non è più la capacità

Dettagli

CALCOLATORI ELETTRONICI A cura di Luca Orrù

CALCOLATORI ELETTRONICI A cura di Luca Orrù Lezione 1 Obiettivi del corso Il corso si propone di descrivere i principi generali delle architetture di calcolo (collegamento tra l hardware e il software). Sommario 1. Tecniche di descrizione (necessarie

Dettagli

COMUNE DI PERUGIA AREA DEL PERSONALE DEL COMPARTO DELLE POSIZIONI ORGANIZZATIVE E DELLE ALTE PROFESSIONALITA

COMUNE DI PERUGIA AREA DEL PERSONALE DEL COMPARTO DELLE POSIZIONI ORGANIZZATIVE E DELLE ALTE PROFESSIONALITA COMUNE DI PERUGIA AREA DEL PERSONALE DEL COMPARTO DELLE POSIZIONI ORGANIZZATIVE E DELLE ALTE PROFESSIONALITA METODOLOGIA DI VALUTAZIONE DELLA PERFORMANCE Approvato con atto G.C. n. 492 del 07.12.2011 1

Dettagli

Introduzione al Cloud Computing

Introduzione al Cloud Computing Risparmiare ed innovare attraverso le nuove soluzioni ICT e Cloud Introduzione al Cloud Computing Leopoldo Onorato Onorato Informatica Srl Mantova, 15/05/2014 1 Sommario degli argomenti Definizione di

Dettagli

UNIVERSITÀ DEGLI STUDI DI MILANO FACOLTÀ DI STUDI UMANISTICI Corso di laurea triennale in Scienze umanistiche per la comunicazione

UNIVERSITÀ DEGLI STUDI DI MILANO FACOLTÀ DI STUDI UMANISTICI Corso di laurea triennale in Scienze umanistiche per la comunicazione UNIVERSITÀ DEGLI STUDI DI MILANO FACOLTÀ DI STUDI UMANISTICI Corso di laurea triennale in Scienze umanistiche per la comunicazione LA RETE SOCIALE PER COMUNICARE L'AMBIENTE: SOCIAL NETWORK ED ECOLOGIA

Dettagli

Architetture Applicative

Architetture Applicative Alessandro Martinelli alessandro.martinelli@unipv.it 6 Marzo 2012 Architetture Architetture Applicative Introduzione Alcuni esempi di Architetture Applicative Architetture con più Applicazioni Architetture

Dettagli

Concetti di base di ingegneria del software

Concetti di base di ingegneria del software Concetti di base di ingegneria del software [Dalle dispense del corso «Ingegneria del software» del prof. A. Furfaro (UNICAL)] Principali qualità del software Correttezza Affidabilità Robustezza Efficienza

Dettagli

L informatica INTRODUZIONE. L informatica. Tassonomia: criteri. È la disciplina scientifica che studia

L informatica INTRODUZIONE. L informatica. Tassonomia: criteri. È la disciplina scientifica che studia L informatica È la disciplina scientifica che studia INTRODUZIONE I calcolatori, nati in risposta all esigenza di eseguire meccanicamente operazioni ripetitive Gli algoritmi, nati in risposta all esigenza

Dettagli

Corso di Informatica

Corso di Informatica CdLS in Odontoiatria e Protesi Dentarie Corso di Informatica Prof. Crescenzio Gallo crescenzio.gallo@unifg.it Le Reti di Computer 2 Introduzione Una rete è un complesso insieme di sistemi di elaborazione

Dettagli

Guida Compilazione Piani di Studio on-line

Guida Compilazione Piani di Studio on-line Guida Compilazione Piani di Studio on-line SIA (Sistemi Informativi d Ateneo) Visualizzazione e presentazione piani di studio ordinamento 509 e 270 Università della Calabria (Unità organizzativa complessa-

Dettagli

Bologna, 27 novembre 2014

Bologna, 27 novembre 2014 Bologna, 27 novembre 2014 Lo scenario Editoria e formazione La crisi globale, gli avanzamenti tecnologici e i mutamenti culturali stanno fortemente impattando il mondo dell editoria e quindi della formazione:

Dettagli

Oggetto: formazione online per insegnanti dal Politecnico di Milano

Oggetto: formazione online per insegnanti dal Politecnico di Milano Apertura iscrizioni master online in tecnologie per la didattica Prof. Paolo Paolini Politecnico di Milano HOC-LAB Viale Rimembranze di Lambrate 14 20134 MILANO Al Dirigente scolastico Milano, 2 Dicembre

Dettagli

REGIONE BASILICATA DIPARTIMENTO PRESIDENZA DELLA GIUNTA UFFICIO SOCIETÀ DELL INFORMAZIONE

REGIONE BASILICATA DIPARTIMENTO PRESIDENZA DELLA GIUNTA UFFICIO SOCIETÀ DELL INFORMAZIONE REGIONE BASILICATA DIPARTIMENTO PRESIDENZA DELLA GIUNTA UFFICIO SOCIETÀ DELL INFORMAZIONE Bando pubblico per lo sviluppo della rete a Banda Larga nelle aree a fallimento di mercato finalizzato al superamento

Dettagli

Approccio stratificato

Approccio stratificato Approccio stratificato Il sistema operativo è suddiviso in strati (livelli), ciascuno costruito sopra quelli inferiori. Il livello più basso (strato 0) è l hardware, il più alto (strato N) è l interfaccia

Dettagli

Il software impiegato su un computer si distingue in: Sistema Operativo Compilatori per produrre programmi

Il software impiegato su un computer si distingue in: Sistema Operativo Compilatori per produrre programmi Il Software Il software impiegato su un computer si distingue in: Software di sistema Sistema Operativo Compilatori per produrre programmi Software applicativo Elaborazione testi Fogli elettronici Basi

Dettagli

Sistemi di misurazione e valutazione delle performance

Sistemi di misurazione e valutazione delle performance Sistemi di misurazione e valutazione delle performance 1 SVILUPPO DELL'INTERVENTO Cos è la misurazione e valutazione delle performance e a cosa serve? Efficienza Efficacia Outcome Requisiti minimi Indicatori

Dettagli

Creare una Rete Locale Lezione n. 1

Creare una Rete Locale Lezione n. 1 Le Reti Locali Introduzione Le Reti Locali indicate anche come LAN (Local Area Network), sono il punto d appoggio su cui si fonda la collaborazione nel lavoro in qualunque realtà, sia essa un azienda,

Dettagli

Comunicazione per le PMI nuove soluzioni a un problema di sempre una practice di Orga 1925

Comunicazione per le PMI nuove soluzioni a un problema di sempre una practice di Orga 1925 Comunicazione per le PMI nuove soluzioni a un problema di sempre una practice di Orga 1925 1 LA PRATICA SOLITA La comunicazione delle PMI si basa di regola sull assoluto privilegio della comunicazione

Dettagli

Considera tutti i requisiti funzionali (use cases) NON deve necessariamente modellare i requisiti non funzionali

Considera tutti i requisiti funzionali (use cases) NON deve necessariamente modellare i requisiti non funzionali Corso di Laurea Specialistica in Ingegneria Informatica Corso di Ingegneria del Software A. A. 2008 - Progettazione OO E. TINELLI Punto di Partenza Il modello di analisi E una rappresentazione minima del

Dettagli

PROJECT MANAGEMENT SERVIZI DI PROJECT MANAGEMENT DI ELEVATA PROFESSIONALITÀ

PROJECT MANAGEMENT SERVIZI DI PROJECT MANAGEMENT DI ELEVATA PROFESSIONALITÀ PROJECT MANAGEMENT SERVIZI DI PROJECT MANAGEMENT DI ELEVATA PROFESSIONALITÀ SERVIZI DI PROJECT MANAGEMENT CENTRATE I VOSTRI OBIETTIVI LA MISSIONE In qualità di clienti Rockwell Automation, potete contare

Dettagli

Premesso che il Sistema di e-learning federato per la pubblica amministrazione dell Emilia-Romagna (SELF):

Premesso che il Sistema di e-learning federato per la pubblica amministrazione dell Emilia-Romagna (SELF): CONVENZIONE PER L ADESIONE AL SISTEMA DI E-LEARNING FEDERATO DELL EMILIA-ROMAGNA PER LA PUBBLICA AMMINISTRAZIONE E L UTILIZZO DEI SERVIZI PER LA FORMAZIONE Premesso che il Sistema di e-learning federato

Dettagli

Introduzione alla Virtualizzazione

Introduzione alla Virtualizzazione Introduzione alla Virtualizzazione Dott. Luca Tasquier E-mail: luca.tasquier@unina2.it Virtualizzazione - 1 La virtualizzazione è una tecnologia software che sta cambiando il metodo d utilizzo delle risorse

Dettagli

Grazie a Ipanema, Coopservice assicura le prestazioni delle applicazioni SAP & HR, aumentando la produttivita del 12%

Grazie a Ipanema, Coopservice assicura le prestazioni delle applicazioni SAP & HR, aumentando la produttivita del 12% Grazie a Ipanema, Coopservice assicura le prestazioni delle applicazioni SAP & HR, aumentando la produttivita del 12% CASE STUDY TM ( Re ) discover Simplicity to Guarantee Application Performance 1 Gli

Dettagli

MODELLO PER LO SVILUPPO DEL PRODOTTO

MODELLO PER LO SVILUPPO DEL PRODOTTO MODELLO PER LO SVILUPPO DEL PRODOTTO 34 Funzioni aziendali coinvolte nello sviluppo prodotto LE PRINCIPALI FUNZIONI AZIENDALI PROTAGONISTE NELLO SVIPUPPO PRODOTTI SONO: Progettazione e sviluppo prodotto

Dettagli

Linee guida per le Scuole 2.0

Linee guida per le Scuole 2.0 Linee guida per le Scuole 2.0 Premesse Il progetto Scuole 2.0 ha fra i suoi obiettivi principali quello di sperimentare e analizzare, in un numero limitato e controllabile di casi, come l introduzione

Dettagli

Documento approvato dal Consiglio Direttivo dell ANVUR nella seduta del 15/5/2013

Documento approvato dal Consiglio Direttivo dell ANVUR nella seduta del 15/5/2013 Documento approvato dal Consiglio Direttivo dell ANVUR nella seduta del 15/5/2013-1. Premessa Con la pubblicazione nella Gazzetta Ufficiale n. 104 del 06/05/2013 del DM 45/2013 Regolamento recante modalità

Dettagli

03. Il Modello Gestionale per Processi

03. Il Modello Gestionale per Processi 03. Il Modello Gestionale per Processi Gli aspetti strutturali (vale a dire l organigramma e la descrizione delle funzioni, ruoli e responsabilità) da soli non bastano per gestire la performance; l organigramma

Dettagli

Project Cycle Management

Project Cycle Management Project Cycle Management Tre momenti centrali della fase di analisi: analisi dei problemi, analisi degli obiettivi e identificazione degli ambiti di intervento Il presente materiale didattico costituisce

Dettagli

Programmazione di dipartimento: INFORMATICA classe 5 A.S. 2014-2015

Programmazione di dipartimento: INFORMATICA classe 5 A.S. 2014-2015 Programmazione di dipartimento: INFORMATICA classe 5 A.S. 2014-2015 Obiettivi generali e specifici della disciplina Liceo scientifico e indirizzo Scienze applicate All interno del percorso quinquennale

Dettagli

Architettura hardware

Architettura hardware Architettura dell elaboratore Architettura hardware la parte che si può prendere a calci Sistema composto da un numero elevato di componenti, in cui ogni componente svolge una sua funzione elaborazione

Dettagli

I quattro ordini di scuola a confronto Elisabetta Malaguti

I quattro ordini di scuola a confronto Elisabetta Malaguti I quattro ordini di scuola a confronto Elisabetta Malaguti Esperienze censite, destinate ad uno o più ordini di scuola. Le esperienze censite nella regione sono 2246. Nella figura che segue è, però, riportato

Dettagli

CAPACITÀ DI PROCESSO (PROCESS CAPABILITY)

CAPACITÀ DI PROCESSO (PROCESS CAPABILITY) CICLO DI LEZIONI per Progetto e Gestione della Qualità Facoltà di Ingegneria CAPACITÀ DI PROCESSO (PROCESS CAPABILITY) Carlo Noè Università Carlo Cattaneo e-mail: cnoe@liuc.it 1 CAPACITÀ DI PROCESSO Il

Dettagli

Software di sistema e software applicativo. I programmi che fanno funzionare il computer e quelli che gli permettono di svolgere attività specifiche

Software di sistema e software applicativo. I programmi che fanno funzionare il computer e quelli che gli permettono di svolgere attività specifiche Software di sistema e software applicativo I programmi che fanno funzionare il computer e quelli che gli permettono di svolgere attività specifiche Software soft ware soffice componente è la parte logica

Dettagli

Appunti sulla Macchina di Turing. Macchina di Turing

Appunti sulla Macchina di Turing. Macchina di Turing Macchina di Turing Una macchina di Turing è costituita dai seguenti elementi (vedi fig. 1): a) una unità di memoria, detta memoria esterna, consistente in un nastro illimitato in entrambi i sensi e suddiviso

Dettagli

MANUALE MOODLE STUDENTI. Accesso al Materiale Didattico

MANUALE MOODLE STUDENTI. Accesso al Materiale Didattico MANUALE MOODLE STUDENTI Accesso al Materiale Didattico 1 INDICE 1. INTRODUZIONE ALLA PIATTAFORMA MOODLE... 3 1.1. Corso Moodle... 4 2. ACCESSO ALLA PIATTAFORMA... 7 2.1. Accesso diretto alla piattaforma...

Dettagli

Application note. CalBatt NomoStor per i sistemi di accumulo di energia

Application note. CalBatt NomoStor per i sistemi di accumulo di energia 1. Panoramica Application note CalBatt NomoStor per i sistemi di accumulo di energia Gli Energy Management Systems () sono dispositivi atti al controllo dei flussi di energia dalle sorgenti di produzione

Dettagli

INTERVENTO LE AZIONI DI SISTEMA TRA CAPACITY BUILDING E COOPERAZIONE A RETE (ROMA, 10 MAGGIO 2006)

INTERVENTO LE AZIONI DI SISTEMA TRA CAPACITY BUILDING E COOPERAZIONE A RETE (ROMA, 10 MAGGIO 2006) INTERVENTO LE AZIONI DI SISTEMA TRA CAPACITY BUILDING E COOPERAZIONE A RETE (ROMA, 10 MAGGIO 2006) Siamo nell ultimo anno di programmazione, per cui è normale fare un bilancio dell attività svolta e dell

Dettagli

TECNICHE DI SIMULAZIONE

TECNICHE DI SIMULAZIONE TECNICHE DI SIMULAZIONE INTRODUZIONE Francesca Mazzia Dipartimento di Matematica Università di Bari a.a. 2004/2005 TECNICHE DI SIMULAZIONE p. 1 Introduzione alla simulazione Una simulazione è l imitazione

Dettagli

Realizzazione di un commutatore ultraveloce di flussi dati ottici basato su effetti non lineari in fibra. Claudia Cantini

Realizzazione di un commutatore ultraveloce di flussi dati ottici basato su effetti non lineari in fibra. Claudia Cantini Realizzazione di un commutatore ultraveloce di flussi dati ottici basato su effetti non lineari in fibra Claudia Cantini 20 Luglio 2004 Ai miei genitori Prefazione La nostra vita di ogni giorno é sempre

Dettagli

Ministero dell istruzione, dell università e della ricerca. Liceo Tecnologico. Indirizzo Informatico, Grafico e Comunicazione

Ministero dell istruzione, dell università e della ricerca. Liceo Tecnologico. Indirizzo Informatico, Grafico e Comunicazione Ministero dell istruzione, dell università e della ricerca Liceo Tecnologico Indirizzo Informatico, Grafico e Comunicazione Percorso Informatico e Comunicazione Indicazioni nazionali per i Piani di Studio

Dettagli

PowerSchedo. Un sistema di supporto alla decisione nel settore dell'oil&gas. For further information: www.mbigroup.it

PowerSchedo. Un sistema di supporto alla decisione nel settore dell'oil&gas. For further information: www.mbigroup.it PowerSchedo Un sistema di supporto alla decisione nel settore dell'oil&gas For further information: Introduzione PowerSchedO è uno strumento software di supporto alle decisioni per problemi nel settore

Dettagli

Project Cycle Management La programmazione della fase di progettazione esecutiva. La condivisione dell idea progettuale.

Project Cycle Management La programmazione della fase di progettazione esecutiva. La condivisione dell idea progettuale. Project Cycle Management La programmazione della fase di progettazione esecutiva. La condivisione dell idea progettuale. Il presente materiale didattico costituisce parte integrante del percorso formativo

Dettagli

La Guida per l Organizzazione degli Studi professionali

La Guida per l Organizzazione degli Studi professionali La Guida per l Organizzazione degli Studi professionali Gianfranco Barbieri Senior Partner di Barbieri & Associati Dottori Commercialisti Presidente dell Associazione Culturale Economia e Finanza gianfranco.barbieri@barbierieassociati.it

Dettagli

REPORT GRUPPO DI LAVORO III

REPORT GRUPPO DI LAVORO III REPORT GRUPPO DI LAVORO III Piattaforma web Network per la RCS per la gestione dei flussi informativi ed organizzazione Centrale di produzione coordinata e permanente delle pillole informative del SSR

Dettagli

Procedura per la configurazione in rete di DMS.

Procedura per la configurazione in rete di DMS. Procedura per la configurazione in rete di DMS. Sommario PREMESSA... 2 Alcuni suggerimenti... 2 Utilizzo di NAS con funzione di server di rete - SCONSIGLIATO:... 2 Reti wireless... 2 Come DMS riconosce

Dettagli

Progetto IDENTITAS: Formazione agli operatori di Bilancio di Competenze

Progetto IDENTITAS: Formazione agli operatori di Bilancio di Competenze Progetto IDENTITAS: Formazione agli operatori di Bilancio di Competenze Provincia di Roma Anno 2005 Indice Il problema affrontato...3 Obiettivi attesi/risultati raggiunti...3 Soggetti coinvolti...3 Il

Dettagli

Il servizio di registrazione contabile. che consente di azzerare i tempi di registrazione delle fatture e dei relativi movimenti contabili

Il servizio di registrazione contabile. che consente di azzerare i tempi di registrazione delle fatture e dei relativi movimenti contabili Il servizio di registrazione contabile che consente di azzerare i tempi di registrazione delle fatture e dei relativi movimenti contabili Chi siamo Imprese giovani e dinamiche ITCluster nasce a Torino

Dettagli

RIDURRE I COSTI ENERGETICI!

RIDURRE I COSTI ENERGETICI! Otto sono le azioni indipendenti per raggiungere un unico obiettivo: RIDURRE I COSTI ENERGETICI! www.consulenzaenergetica.it 1 Controllo fatture Per gli utenti che sono o meno nel mercato libero il controllo

Dettagli

QUESTIONARIO 3: MATURITA ORGANIZZATIVA

QUESTIONARIO 3: MATURITA ORGANIZZATIVA QUESTIONARIO 3: MATURITA ORGANIZZATIVA Caratteristiche generali 0 I R M 1 Leadership e coerenza degli obiettivi 2. Orientamento ai risultati I manager elaborano e formulano una chiara mission. Es.: I manager

Dettagli

32 EDIZIONE 2014-2015

32 EDIZIONE 2014-2015 32 EDIZIONE 2014-2015 Il prossimo ottobre prenderà il via la diciannovesima edizione del master per capi negozio supermercati. Oramai un classico dell offerta formativa di Scuola Coop Hanno già partecipato

Dettagli

Università degli Studi "Roma Tre" Dipartimento di Informatica ed automazione. Facoltà di Ingegneria

Università degli Studi Roma Tre Dipartimento di Informatica ed automazione. Facoltà di Ingegneria Università degli Studi "Roma Tre" Dipartimento di Informatica ed automazione Facoltà di Ingegneria Corso di Laurea in Ingegneria Informatica Tesi di Laurea AUTENTICAZIONE PER APPLICAZIONI WEB Relatore

Dettagli

ICT 2015 - Information and Communications Technologies

ICT 2015 - Information and Communications Technologies Avviso: ICT-10-2015 Programma Horizon 2020 ICT 2015 - Information and Communications Technologies H2020-ICT-2015 Data di apertura 15-10-2014 Data di pubblicazione 23-07-2014 Data di scadenza Date 14-04-2015

Dettagli

Il Sistema Operativo. C. Marrocco. Università degli Studi di Cassino

Il Sistema Operativo. C. Marrocco. Università degli Studi di Cassino Il Sistema Operativo Il Sistema Operativo è uno strato software che: opera direttamente sull hardware; isola dai dettagli dell architettura hardware; fornisce un insieme di funzionalità di alto livello.

Dettagli

Convertitori elettronici di potenza per i veicoli elettrici

Convertitori elettronici di potenza per i veicoli elettrici Trazione elettrica veicolare: stato dell arte ed evoluzioni future Convertitori elettronici di potenza per i veicoli elettrici Veicoli elettrici L aumento crescente del costo del combustibile e il problema

Dettagli

Prestazioni CPU Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.

Prestazioni CPU Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella. Prestazioni CPU Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Prestazioni Si valutano in maniera diversa a seconda dell

Dettagli

Casi concreti PREMESSA casi concreti completa e dettagliata documentazione nessun caso concreto riportato è descritto più di una volta

Casi concreti PREMESSA casi concreti completa e dettagliata documentazione nessun caso concreto riportato è descritto più di una volta Casi concreti La pubblicazione dei casi concreti ha, come scopo principale, quello di dare a tante persone la possibilità di essere informate della validità della consulenza individuale e indipendente

Dettagli

48 Laurea magistrale in Comunicazione multimediale Scienze

48 Laurea magistrale in Comunicazione multimediale Scienze 48 Laurea magistrale in Comunicazione multimediale Scienze C U R R I C O L A All interno del corso di laurea magistrale gli insegnamenti e le attività formative sono organizzate in modo da offrire percorsi

Dettagli

Progettazione dei Sistemi di Produzione

Progettazione dei Sistemi di Produzione Progettazione dei Sistemi di Produzione Progettazione La progettazione è un processo iterativo che permette di definire le specifiche di implementazione per passare dall idea di un sistema alla sua realizzazione

Dettagli

Master in Europrogettazione

Master in Europrogettazione Master in Europrogettazione DICEMBRE 2012 FEBBRAIO 2013 Milano Lecce Bruxelles Vuoi capire cosa significa Europrogettista? Vuoi essere in grado di presentare un progetto alla Commissione Europea? Due moduli

Dettagli

La piattaforma di lettura targhe intelligente ed innovativa in grado di offrire servizi completi e personalizzati

La piattaforma di lettura targhe intelligente ed innovativa in grado di offrire servizi completi e personalizzati La piattaforma di lettura targhe intelligente ed innovativa in grado di offrire servizi completi e personalizzati Affidabilità nel servizio precisione negli strumenti Chanda LPR Chanda LPR è una piattaforma

Dettagli

UNIVERSITA DEGLI STUDI ROMA TRE

UNIVERSITA DEGLI STUDI ROMA TRE UNIVERSITA DEGLI STUDI ROMA TRE COMUNITA DI PRATICA E COMUNICAZIONE ON LINE : IL CASO MASTER GESCOM RELATORE Prof.ssa Giuditta ALESSANDRINI Laureanda Chiara LOLLI Matr. n. 203597 CORRELATORE Prof.ssa Isabella

Dettagli

Università degli Studi di Milano 16 gennaio 2007. Dipartimento Informatica e Comunicazione aula Beta

Università degli Studi di Milano 16 gennaio 2007. Dipartimento Informatica e Comunicazione aula Beta Università degli Studi di Milano 16 gennaio 2007 Dipartimento Informatica e Comunicazione aula Beta DICo: seminario 16/01/07 Reply Reply è una società di Consulenza, System Integration, Application Management

Dettagli

ILSISTEMA INTEGRATO DI PRODUZIONE E MANUTENZIONE

ILSISTEMA INTEGRATO DI PRODUZIONE E MANUTENZIONE ILSISTEMA INTEGRATO DI PRODUZIONE E MANUTENZIONE L approccio al processo di manutenzione Per Sistema Integrato di Produzione e Manutenzione si intende un approccio operativo finalizzato al cambiamento

Dettagli

Sistemi Informativi e Sistemi ERP

Sistemi Informativi e Sistemi ERP Sistemi Informativi e Sistemi Trasformare i dati in conoscenza per supportare le decisioni CAPODAGLIO E ASSOCIATI 1 I SISTEMI INFORMATIVI LI - E IMPRESA SISTEMA DI OPERAZIONI ECONOMICHE SVOLTE DA UN DATO

Dettagli

Metodologie di progetto hardware/software

Metodologie di progetto hardware/software Metodologie di progetto hardware/software Prof. Cristina SILVANO Politecnico di Milano Dipartimento di Elettronica e Informazione P.za L. Da Vinci 32, I-20133 Milano (Italy) Ph.: +39-02-2399-3692 e-mail:

Dettagli

Università di Parma Facoltà di Ingegneria. Polo Tecnologico Nettuno

Università di Parma Facoltà di Ingegneria. Polo Tecnologico Nettuno Università di Parma Facoltà di Ingegneria Polo Tecnologico Nettuno Guida ai servizi FINALITÀ...2 COORDINATORE...3 SEGRETERIA DIDATTICA E CEDI...4 TUTORI...5 DATI UTILI...6 Finalità L obiettivo di questa

Dettagli

leaders in engineering excellence

leaders in engineering excellence leaders in engineering excellence engineering excellence Il mondo di oggi, in rapida trasformazione, impone alle imprese di dotarsi di impianti e macchinari più affidabili e sicuri, e di più lunga durata.

Dettagli

Il sistema operativo TinyOS

Il sistema operativo TinyOS tesi di laurea Anno Accademico 2005/2006 relatore Ch.mo prof. Domenico Cotroneo candidato Giovanni Chierchia Matr. 534 / 804 ::. Obiettivi del lavoro di tesi Studio del sistema operativo TinyOS Studio

Dettagli

Il Problem-Based Learning dalla pratica alla teoria

Il Problem-Based Learning dalla pratica alla teoria Il Problem-Based Learning dalla pratica alla teoria Il Problem-based learning (apprendimento basato su un problema) è un metodo di insegnamento in cui un problema costituisce il punto di inizio del processo

Dettagli

ALLEGATO ALLA DELIBERA DI GIUNTA COMUNALE N. 35 DEL 31/03/2001

ALLEGATO ALLA DELIBERA DI GIUNTA COMUNALE N. 35 DEL 31/03/2001 ALLEGATO ALLA DELIBERA DI GIUNTA COMUNALE N. 35 DEL 31/03/2001 METODOLOGIA PERMANENTE PER LA VALUTAZIONE DELLE PRESTAZIONI E DEI RISULTATI DEI DIPENDENTI GENERALMENTE CONSIDERATI CUI NON SIANO STATI CONFERITI

Dettagli

Case Study. 2014 Deskero All rights reserved www.deskero.com

Case Study. 2014 Deskero All rights reserved www.deskero.com Case Study 2014 Deskero All rights reserved www.deskero.com Overview About Easydom Per adattarsi meglio alle esigenze specifiche del team tecnico Easydom, Deskero è stato completamente personalizzato,

Dettagli

Master in Europrogettazione

Master in Europrogettazione Master in Europrogettazione Marzo Aprile 2013 4 Edizione Milano Bruxelles Due moduli in Italia* e uno a Bruxelles con consegna dell attestato finale di partecipazione Basato sulle linee guida di Europa

Dettagli

Automazione Industriale (scheduling+mms) scheduling+mms. adacher@dia.uniroma3.it

Automazione Industriale (scheduling+mms) scheduling+mms. adacher@dia.uniroma3.it Automazione Industriale (scheduling+mms) scheduling+mms adacher@dia.uniroma3.it Introduzione Sistemi e Modelli Lo studio e l analisi di sistemi tramite una rappresentazione astratta o una sua formalizzazione

Dettagli

Le fattispecie di riuso

Le fattispecie di riuso Le fattispecie di riuso Indice 1. PREMESSA...3 2. RIUSO IN CESSIONE SEMPLICE...4 3. RIUSO CON GESTIONE A CARICO DEL CEDENTE...5 4. RIUSO IN FACILITY MANAGEMENT...6 5. RIUSO IN ASP...7 1. Premessa Poiché

Dettagli

IL MODELLO SCOR. Agenda. La Supply Chain Il Modello SCOR SCOR project roadmap. Prof. Giovanni Perrone Ing. Lorena Scarpulla. Engineering.

IL MODELLO SCOR. Agenda. La Supply Chain Il Modello SCOR SCOR project roadmap. Prof. Giovanni Perrone Ing. Lorena Scarpulla. Engineering. Production Engineering Research WorkGROUP IL MODELLO SCOR Prof. Giovanni Perrone Ing. Lorena Scarpulla Dipartimento di Tecnologia Meccanica, Produzione e Ingegneria Gestionale Università di Palermo Agenda

Dettagli

Lean Excellence Center Operational Excellence TOP CLUB

Lean Excellence Center Operational Excellence TOP CLUB Lean Excellence Center Operational Excellence TOP CLUB Prof. Alberto Por,oli Staudacher alberto.por,oli@polimi.it Lean Excellence Center del Politecnico di Milano www.lean-excellence.it Il nostro gruppo

Dettagli