Componenti sequenziali in VHDL

Documenti analoghi
Descrizione VHDL di componenti sequenziali

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

Descrizione VHDL di componenti combinatori

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Introduzione al VHDL Lezione 3

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

Introduzione al VHDL. Alcuni concetti introduttivi

2 storage mechanisms positive feedback charge-based

UNIVERSITA DEGLI STUDI DI FIRENZE. Facoltà di Ingegneria Corso di Laurea in Ingegneria Informatica !" #$ & +

Reti Logiche A. Introduzione al VHDL

Circuiti sincroni Circuiti sequenziali: i bistabili

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30

Calcolatori Elettronici M Modulo Linguaggio VHDL Parte 1/2

Flip-flop e loro applicazioni

Sequential Logic. 2 storage mechanisms positive feedback charge-based. Inputs. Outputs COMBINATIONAL LOGIC. Current State. Next state.

A Descrizione: ruota effetti opzionale con supporto/ optional effects wheel with support/ iprofile FLEX MODIFICHE. Codice assemblato:

Chapter 7 Registers and Register Transfers

Circuiti sequenziali e elementi di memoria

ES IS ORIGINAL COMPRESSORSS

Flip-flop, registri, la macchina a stati finiti

DESCRIZIONE DEL FUNZIONAMENTO

Descrizioni VHDL Behavioral

Un linguaggio per la descrizione dello hardware: il VHDL

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

Elettronica delle TLC II Esercitazione I

FONDAMENTI DI INFORMATICA Lezione n. 7. Esercizi di progetto di circuiti sequenziali

I bistabili ed il register file

Cenni alle reti logiche. Luigi Palopoli

Calcolatori Elettronici T. Complementi ed Esercizi di Reti Logiche

Calcolatori Elettronici Lezione 4 Reti Sequenziali Asincrone

Un linguaggio per la descrizione dello hardware: il VHDL

acquisire informazioni su grandezze analogiche, trasformandole in stringhe di bit

Esercizi sulle Reti Sequenziali Sincronizzate

Introduzione ai microcontrollori

Reti Logiche Appello del 1 marzo 2011

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Verifica di Sistemi. 2. Il latch SR a porte NOR non accetta la condizione: a. S=0, R=0 b. S=1, R=1 c. S=0, R=1 d. S=1, R=0

Quarta esercitazione

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language

Architetture BIST. Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan. Inizializzazione del circuito hardware

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Progettazione di circuiti digitali e linguaggio VHDL

Pin-out logico di una CPU CPU. Reset Clock Ready. Architettura di un Sistema

Università degli Studi di Cassino

Logica sequenziale: implementazione verilog

Progettazione di circuiti digitali e linguaggio VHDL

Esercizi su microistruzioni. 1 Esercizi con architettura a 1 bus

Elettronica delle Telecomunicazioni II - Esercitazione 1. Interfaccia di convertitori A/D e A/D con logica programmabile

L unità di controllo di CPU multi-ciclo

Gli elementi di memoria: i bistabili I registri. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Complementi ed Esercizi di Reti Logiche in VHDL

Introduzione al linguaggio VHDL

Reti Logiche A Appello del 24 febbraio 2010

1 Esercizi con architettura a 1 bus

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management

Esercizio 4.3. Esercizio 4.4

Circuiti sequenziali e latch

Introduzione al VHDL Lezione 1

di correggere 2 bit, purché siano contigui

Corso di Elettronica Digitale. Display decoder a 7 segmenti con le mappe di Karnaugh

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore)

Obbiettivi del Modulo

Circuiti sequenziali: macchine a stati finiti

Flip flop: tempificazione latch ed edge-triggered

Corso di Sistemi di Elaborazione A.A. 2008/2009

Calcolatori Elettronici T. Complementi ed Esercizi di Reti Logiche

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15

PSPICE Circuiti sequenziali principali

Architettura di un calcolatore: introduzione

CONTATORI ASINCRONI. Fig. 1

Capitolo 7. Reti sincrone. 7.1 Retroazioni con flip-flop. Comportamento

Nonostante l avvento delle più moderne

Università degli Studi di Milano

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI

Reti Logiche A Esame del 19 febbraio 2007

CIRCUITO DI TEST E SOFTWARE UTILIZZATI

Dispositivi riconfigurabili. Reti Logiche T Ingegneria Informatica

Parte 1. Vettori di bit - AA. 2012/13 1.1

Logica sequenziale. I dispositivi logici si suddividono in due famiglie principali:

Laboratorio di Calcolatori Elettronici

Flip-flop Macchine sequenziali

ARCHITETTURA DEI SISTEMI DI ELABORAZIONE

Esercizio 4.3. Esercizio 4.4

CPU a ciclo multiplo

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

MANIFESTO DEGLI STUDI

CPU pipeline hazards

ELETTROTECNICA Indirizzo formativo

CPU a ciclo multiplo

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Matematica. Imparare le moltiplicazioni per multipli di 10 e 100. Risposte. Nome:

L unità di controllo. Il processore: unità di controllo. Le macchine a stati finiti. Struttura della macchina a stati finiti

CPU a singolo ciclo: l unità di controllo, istruzioni tipo J

Circuiti sequenziali

Facoltà di Ingegneria Corso di Laurea in Ingegneria delle Telecomunicazioni. Relazione Progetto del Corso di Elettronica Dei Sistemi Digitali

Probabilità e Statistica Esercitazioni. a.a. 2006/2007

LSS Reti Logiche: multivibratori e T555

Circuiti sequenziali

Transcript:

Compoeti seueziali i VHDL Architetture e reti logiche Esercitazioi VHDL a.a. 2005/06 Compoeti seueziali i VHDL Stefao Ferrari Uiversità egli Stui i Milao Dipartimeto i Tecologie ell Iformazioe Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 1/17 Flip-flop i tipo D 0 0 1 1 etity FF_D is port (, : i bit; : out bit); e FF_D; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 2/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 1

Compoeti seueziali i VHDL Flip-flop D: moello comportametale architecture behav1 of FF_D is process () if ( evet a = 1 ) the <= ; e if; e process; e behav1; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 3/17 Flip-flop D: moello comportametale (2) architecture behav2 of FF_D is process -- o sesitivity list wait util evet a = 1 ; <= ; e process; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 4/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 2

Compoeti seueziali i VHDL Registro a bit [0.. 1] [0.. 1] etity REG4 is port ( : i bit_vector(0 to 3); : i bit; : out bit_vector(0 to 3)) e REG4; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 5/17 Registro a 4 bit: moello strutturale 0 1 2 3 0 1 2 3 Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 6/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 3

Compoeti seueziali i VHDL Registro a 4 bit: moello strutturale (2) architecture STRUCT of REG4 is compoet FF_D port (, : i bit; : out bit); e compoet; u1: FF_D port map ((0),,(0)); u2: FF_D port map ((1),,(1)); u3: FF_D port map ((2),,(2)); u4: FF_D port map ((3),,(3)); e STRUCT; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 7/17 Flip-flop i tipo SR s r s r 0 0 0 1 0 1 0 1 1 1 X - - 0 - - 1 etity FF_set_reset is port (s, r, : i st_logic; : out st_logic); e FF_set_reset; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 8/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 4

Compoeti seueziali i VHDL Flip-flop SR: moello comportametale architecture BEHAV of FF_set_reset is process () if ( evet a = 1 ) the if (s= 1 a r= 1 ) the <= X ; elsif (r= 1 ) the <= 0 ; elsif (s= 1 ) the <= 1 ; e if; e if; e process; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 9/17 Flip-flop SR co reset asicroo architecture BEHAV2 of FF_set_reset is process (, r) if (r= 1 ) the -- reset asicroo e prioritario <= 0 ; elsif ( evet a = 1 ) the if (s= 1 ) the <= 1 ; e if; e if; e process; e BEHAV2; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 10/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 5

Compoeti seueziali i VHDL Cotatore out # froti out 0 0000 1 0001 2 0010 3 0011 Cotatore a bit Cotatore moulo 2 Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 11/17 Cotatore (2) reset set loa ata i out up/ow eable Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 12/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 6

Compoeti seueziali i VHDL architecture behav of cot8 is sigal t: st_logic_vector(0 to 7); process(, reset) if (reset = 1 ) the t <= "00000000"; elsif ( evet a = 1 ) the t <= t + "00000001"; e if; e process; outa <= t; -- assegameto cocorrete e behav; Cotatore co reset Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 13/17 Cotatore completo + 1 1 0 + out up/ow eable ata i loa reset set Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 14/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 7

Compoeti seueziali i VHDL Shift register etity ShiftRegister is port (, : i st_logic; : out st_logic); e ShiftRegister; Il umero i bit el registro o compare ell iterfaccia. Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 15/17 Shift register (2) architecture behav of ShiftRegister is sigal t : st_logic_vector(0 to 3); process () if evet a = 1 the t <= & t(0 to 2); <= t(3); e if; e process; e behav; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 16/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 8

Compoeti seueziali i VHDL Shift register: segali aizioali reset poe tutti i bit a "0" set poe tutti i bit a "1" right/lef t irezioe ello scorrimeto eable abilita/isabilita lo scorrimeto ata i/loa scrittura parallela ata out lettura parallela Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 17/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 9