Esercizio 3 (Sintesi minima di una rete sequenziale sincrona)

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Esercizio 3 (Sintesi minima di una rete sequenziale sincrona)"

Transcript

1 Esercizio 1 Utilizzando l approccio visto nella realizzazione dell adder binario interno alla ALU si esegua il procedimento di sintesi del componente ADDER_5 4 che sommi operandi in base 4(es 3+3 = 12; 1+3=10) da 5 cifre. Si utilizzi la normale codifica binaria posizionale per le cifre rappresentanti gli operandi ed il risultato. In figura è rappresentato un esempio di operazione che la rete deve essere in grado di compiere ed è messa in evidenza la parte delegata ad un generico Full adder base 4 (FA 4). In particolare sono richiesti: Blocco ai morsetti del full adder FA 4 per sommare in base 4, tenendo anche in considerazione il riporto, due cifre nella medesima posizione degli operandi. Lo schema logico di alto livello di ADDER_5 4 che evidenzi la connessione fra i full adder. la tabella di verità del full adder in base 4 (FA 4) Le mappe, le coperture minime e le espressioni minime SP delle uscite di FA 4 per operandi in base 4 Lo schema logico della rete minima SP che calcola il riporto in uscita (C out) per un qualsiasi FA 4 Il full adder realizzato è una rete sequenziale o combinatoria? L adder risultante dalla connessione dei full adder è una rete sequenziale o combinatoria? Motivare le risposte

2 Esercizio 2 Con riguardo alla rete sequenziale sincrona rappresentata in figura in cui tutti i FFD sono comandati dallo stesso segnale di clock, si utilizzino gli strumenti e la metodologia tipiche dell analisi per derivare il comportamento della rete. In particolare: si individuino le variabili di stato e le espressioni delle variabili di stato futuro si riportino la tabella delle transizioni e la tabella di flusso si ricavi il diagramma degli stati si descriva chiaramente il comportamento della rete: come varia l uscita in funzione della sequenza di ingresso? La rete è di Mealy o di Moore? Motivare le risposte

3 Esercizio 3 (Sintesi minima di una rete sequenziale sincrona) Si realizzi tramite il procedimento di sintesi visto nel corso la rete logica R che ha un solo ingresso I e due uscite U0 ed U1. R è un riconoscitore di impulsi che attiva U0 in caso di impulso negativo di esattamente 2 clock ed U1 in caso di impulso positivo di esattamente due clock. Quando un impulso di due clock viene individuato R modifica la corrispondente uscita durante il clock di riconoscimento e la disattiva al successivo. Supporre che la rete venga inizializzata proponendo in ingresso 0 per 8 clock consecutivi Un esempio del comportamento di R è riportato nella tabella sottostante: Clock T T T T T T T T T T T T T T I U U Si rappresentino il diagramma degli stati, la tabella di flusso, la codifica scelta per lo stato, e la tabella delle transizioni. Si utilizzino poi le mappe di Karnaugh per ottenere le espressioni minime SP delle uscite e delle variabili di stato futuro. Si rappresenti infine lo schema logico di R, evidenziando la rete F e la rete G.

4 Esercizio 4 (analisi di una rete sequenziale sincrona) La rete rappresentata in figura è formata da un universal shift register (USR) a 8 bit inizializzato con 47H, un contatore binario per sedici inizializzato a 0H, un registro a 8 bit inizializzato con ingressi costanti a D5H, alcuni componenti combinatori noti. La funzione svolta da USR è determinata dai bit A1 ed A0 come mostrato in tabella. Si completi l andamento dei segnali riportati in tabella e si descriva brevemente a parole il comportamento della rete: in particolare si spieghi come si comporta il segnale U ed eventuali comportamenti periodici a regime. Cosa succede quando viene modificato il contenuto del registro?

5 Esercizio 5 Un sistema di conteggio persone S è installato in un museo ed è realizzato come segue. Un sensore P_in rileva l ingresso di una persona e lo segnala tramite la propria uscita mantenuta al valore logico alto per un clock. All uscita del museo un sensore P_out rileva le persone che escono mantenendo il proprio segnale di uscita al valore logico alto per un clock. S è provvisto in ingresso di una porta dati D collegata ad un registro R che serve per indicare il numero massimo di persone consentite all interno della struttura. S ha un uscita U che indica, col valore alto, che il numero massimo di persone consentito è stato raggiunto 1) Si disegni lo schema al alto livello del sistema indicando almeno S, P_in, P_out, R (ed il suo contenuto) e le loro connessioni, nell ipotesi che il numero massimo di persone consentito sia ) Utilizzare i componenti visti nel corso per disegnare la struttura interna di S, la quale avrà il solo scopo di tener traccia costantemente del numero di persone all interno del museo allo scopo di segnalare il raggiungimento del valore massimo Esercizio 6 1) Data la mappa in figura della funzione G, si ricavino le espressioni minime SP e PS 2) Nell espressione ricavata si metta in evidenza la variabile f ottendo G = (G1 AND f) OR (G2 AND (f*) ). Quale rete logica nota ci consente di ottenere G supponendo di avere F, G1, e G2? 3) Scrivere una possibile mappa di Karnaugh che implementa G1

6 Esercizio 7 (Sintesi minima di una rete combinatoria) Si prenda in considerazione la rete logica R R ha in ingresso: A: variabile a 2 bit formata dai bit A1 ed A0. B: variabile binaria C: variabile binaria R ha in uscita : U: variabile a? bit R svolge un operazione aritmetica sugli operandi A, B e C e fornisce il risultato in U. Si considerino interi senza segno in notazione binaria posizionale sia gli operandi che l uscita. In particolare U = 2*A + 2*B + 4*C + 3 Domanda 1: Completare la tabella sottostante

7 U2 Domanda 2: Quanti bit al minimo occorre utilizzare per rappresentare la variabile di uscita U? Per rappresentare U come intero senza segno servono minimo bit Domanda 3: Si completi la mappa di Karnaugh relativa al bit U2 di U, si mettano in evidenza i raggruppamenti rettangolari per calcolare l espressione minima SP e si scriva tale espressione U2 = F (A1,A0,B,C) = Domanda 4: L espressione minima presenta il rischio di alee statiche? Se si quale/i ragruppamenti bisogna aggiungere per evitare le alee statiche? Raggruppamenti aggiuntivi: Esercizio 8 ( Sintesi di una rete sequenziale sincrona ) Si richiede di disegnare il grafo degli stati di una rete sequenziale sincrona, con un ingresso (RESET) e un uscita (OUT) il cui comportamento a parole è di seguito descritto: Tutte le volte che il segnale RESET = 1, la rete dovrà riportare in uscita il valore logico 0 A partire dal primo periodo di clock in cui RESET = 0, e per tutto il tempo in cui RESET permane a 0, la rete dovrà generare in uscita una forma d onda che generi un impulso negativo della durata di un clock ogni 3 fronti positivi del clock

8 Esercizio 9 ( Analisi di una rete sequenziale sincrona ) Indicare nell apposita tabella l andamento dei segnali J0 J1 J2 e J3 ed U, supponendo che il valore memorizzato nel registro sia DC7A esadecimale e che esso sia in modalità Hold. Scrivere inoltre brevemente come si comporta la rete rappresentata Descrivere il funzionamento della rete logica rappresentata ed indicare se è combinatoria o sequenziale e perché.

9 Esercizio 10 Sintetizzare un contatore per 28 in due modi: utilizzando unicamente dei contatori BCD (contatore per 10 che va avanti secondo la numerazione binaria) e dei componenti logici combinatori elementari ( AND, OR, NOT, EXOR, MUX ) utilizzando un sommatore, un registro e dei componenti logici elementari.

10 Esercizio 11 Con riferimento alla rete logica sottostante(a) in cui i dati in ingresso IN vengono processati in più stadi di elaborazione fino a diventare i risultati attesi OUT e considerando che R0, R1, R2, R3 sono registri edge-triggered caratterizzati dai seguenti tempi: tsetup = 200 ns, thold = 100 ns tr = 100 ns, mentre RC0, RC1 e RC2 sono reti combinatorie i cui tempi di elaborazione sono RC0: 300 ns, RC1: 600 ns, RC2: 300 ns, si risponda ai seguenti quesiti: Supponendo noto tclk, quanto tempo impiega un dato in ingresso (IN) per essere processato fino alla fine della catena (OUT)? Qual è la frequenza massima con cui la rete (a) può elaborare dati? Si confronti la rete proposta con un altra rete (b) composta da 2 soli registri e da una rete combinatoria che combina RC0, RC1 ed RC2. In (b) i tempi sono uguali per quanto riguarda i registri: tsetup = 200 ns, thold = 100 ns tr = 100 ns. RC012 impiega invece 1000 ns per processare i dati. Supponendo che entrambe le reti funzionino alla massima frequenza rispettivamente possibile, quale rete termina per prima di processare il primo ingresso? Quale termina per prima di processare 100 ingressi? Perché?

11 Esercizio 12 (Sintesi minima di una rete combinatoria) Si richiede di ricavare l espressione minima SP della funzione di uscita U di una rete combinatoria avente come ingresso 4 variabili binarie (I3I2I1I0), il cui funzionamento è descritto dalle seguenti specifiche: l uscita U vale 1 per tutte le configurazioni d ingresso tali che I3=1 e I0 = 1 l uscita U vale 1 per tutte le configurazioni d ingresso tali che I2=1 e I1I0 = 00 l uscita U vale 0 per tutte le altre configurazioni d ingresso Domanda 1) Ricavare l espressione minima SP dell uscita U utilizzando la mappa di Karnaugh di seguito riportata, evidenziando i raggruppamenti effettuati. I 1 I 0 I 3 I CALCOLATORI ELETTRONI CI U = Domanda 2) La rete ottenuta al punto 1 presenta alee? Se si, riportare nel seguito i termini ridondati da introdurre per evitare a priori il problema dell alea statica. Sotto quali altre condizioni la rete non presenta a priori il problema dell alea statica? Esercizio 13 (Grafo degli stati di una rete sequenziale sincrona) Si richiede di disegnare il grafo degli stati secondo il modello di Moore di una rete sequenziale sincrona, con un ingresso I ad un bit e un uscita U a due bit (U1U0), il cui comportamento a parole è di seguito descritto: La rete deve contare per quanti periodi di clock (anche non consecutivi) l ingresso I è stato a valore logico alto. L uscita U deve riportare questo numero come configurazione binaria di due bit. Raggiunto il conteggio massimo (3), la rete resetterà il conteggio solamente se l ingresso I presenta per tre periodi di clock consecutivi il valore 0. In caso contrario, l uscita permane al valore binario 11. Di seguito è riportato un esempio di andamento dell uscita U in funzione dell ingresso I: Clock T T T T T T T T T T T T T T CALCOLATORI ELETTRONI CI I U1U Stato present e Stato fut uro Domanda 1) Disegnare il grafo degli stati della rete Domanda 2) Quante variabili di stato sono necessarie?

12 Esercizio 14 (Analisi di una rete sequenziale sincrona) Data la rete sequenziale sincrona riportata nel seguito (entrambi i FF-D sono comandati dallo stesso segnale di clock): I FF-D 1: Tsumin1 = 10 ms ; TRmax1 = 5 ms CALCOLATORI ELETTRONI CI U D1 D0 FF-D 0: Tsumin0 = 8 ms ; TRmax0 = 2 Q 1 0 Q 0 ms FF-D FF-D MUX: TRIOmax = 3 ms (tempo di risposta massimo rispetto al cambiamento degli ingressi); TRCOmax= 4 ms (tempo di risposta massimo rispetto al cambiamento del segnale di controllo) Domanda 1) Scrivere le espressioni dello stato futuro dei due FF-D Q1* = Q0* = Domanda 2) Completare l andamento dei segnali sotto riportati 1 Clock T T T T T T T T T T T CALCOLATORI ELETTRONI CI I=D Q1 0 Q1* Q0 = U 0 Q0* Domanda 3) Considerando i tempi sopra riportati, calcolare la frequenza massima di funzionamento della rete, mostrando i passaggi seguiti per il calcolo.

13 Esercizio 15 (soluzione a fine blocco) In un sistema sono presenti: - Una bilancia B con uscita a n bit sempre positiva e codificata in complemento a due. - Un pulsante P2 che se premuto corrisponde ad un impulso da un clock. - Un pulsante P1 che se premuto corrisponde ad un impulso da un clock. - Una linea dati Din a n bit che si suppone portare dati sempre positivi e codificati in complemento a due - Una rete logica S che ha in ingresso i segnali di uscita dei blocchi precedentemente citati ed in unscita 3 bit o W# (Win attivo basso) o L (Loose) o R (Retry) Si desidera il seguente funzionamento: Si inserisce un dato in Din e si preme P2 per inizializzare il sistema. Poi ciclicamente si mette un oggetto sulla bilancia e si preme P1. - Se la somma dei pesi inseriti su B è pari al dato in ingresso allora si attiva W#. - Se la somma dei pesi inseriti su B è superiore al dato iniziale in ingresso allora si attiva L. - Se la somma dei pesi inseriti su B è inferiore al dato iniziale in ingresso allora si attiva R. Sotto sono riportati lo schema ad alto livello ed i componenti necessari. Si colleghino su foglio protocollo i componenti in modo da ottenere il funzionamento desiderato e si spieghi come avviene il tutto con cura e dettaglio.

14 Esercizio 16 1) Data la mappa in figura della funzione G, si ricavino le espressioni minime SP e PS 2) Nell espressione SP ricavata si metta in evidenza la variabile f ottendo G = (G1 AND f) OR (G0 AND (f*) ). Quale rete logica nota ci consente di ottenere G supponendo di avere F, G1, e G2? Ripetere il procedimento con la variabile e ottenendo G1 =( G11 AND e) OR ( G10 and e* ) G0= ( G01 AND e) OR ( G00 and e* ) Nota: provare a premettere agli implicanti sprovvisti della variabile var da estrarre il termine logicamente pari 1: (var + var*) 3) Scrivere la mappa di Karnaugh che implementa G10

15 Esercizio 17 Si disegni la struttura interna di C: un contatore per N contenente un sommatore ad N bit ed un registro di accumulazione. C è provvisto, oltre che dell ingresso di clock, anche degli ingressi R. Reset sincrono attivo basso: se vale 0 viene eseguito il reset sincrono Load attivo alto e Data(n-1)..0 : se Load vale 1 allora viene eseguita l operazione di Load per l operando ad N bit Data(n-1)..0 E. Enable attivo alto: se vale uno il contatore procede UD. up/down:se vale 1 conta in avanti se vale 0 conta all indietro (secondo il verso della numerazione binaria) Avere cura nel disegnare e spiegare i collegamenti necessari a fare in modo che gli ingressi abbiano l effetto voluto. Disegnare C ai morsetti per N = 16. Modificare C in modo che conti per 9 e chiamare la nuova rete C2 Disegnare C3: un contatore per 59 e fatto da due contatori C2 opportunamente interconnessi e da gate logici elementari Esercizio 18 Eseguire tutti i passi della sintesi per giungere allo schema logico del riconoscitore di sequenze 0011 che attiva la sua uscita U per un solo clock ogni qual volta l ultimo 1 della sequenza viene riconosciuto sull unico ingresso I (oltre al clock). In particolare si indichino Lo schema ai morsetti Il diagramma degli stati La tabella di flusso La codifica degli stati e la tabella delle transizioni Le mappe di Karnaugh per ottenere un copertura minima Lo schema logico Esercizio 19 Scrivere come è possibile calcolare la frequenza massima di funzionamento di una rete combinatoria ed applicare il ragionamento ad un adder a 3 bit in cui ciascun Full Adder impiega 1 ns per calcolare le proprie uscite da quando l ultimo degli ingressi è divenuto stabile. Dopo quanto tempo otteniamo il valore della somma rispetto al momento in cui forniamo in ingresso gli operandi 011 e 001

16 Esercizio 20 Usando tutti i passi della sintesi si progetti un contatore per 6 chiamato C1 i cui stati seguano la sequenza 011, 001, 000, 111, 101, 100. C1 deve disporre di un ingresso di reset sincrono che riporti allo stato 011. Si prenda in considerazione anche C2 un contatore per 6 che segue l ordine 000, 001, 011, 111, 110, 100 che non deve essere progettato. Quale dei due contatori è meglio usare nel generatore di forme d onda RL1? Perché? Qual è il vincolo di progettazione del multiplexer in RL1 affinché la rete si comporti correttamente, nell ipotesi che si sia scelto il contatore corretto? Indicare un possibile valore dell uscita di RL2 che consente di generare la forma d onda all uscita di RL1 Spiegare quale potrebbe essere una possibile realizzazione interna di RL2 che consenta di cambiare la forma d onda generata all uscita di RL1 quando si attiva il segnale L. Non è necessario disegnare tutto lo schema ma solo una sua parte e spiegarne il funzionamento

17 Esercizio 21 Eseguire i passi della sintesi della rete RL0 con 4 ingressi (I3, I2, I1 e I0) e 4 uscite (O3, O2, O1, O0). Il funzionamento è il seguente: Se gli ingressi sono tutti nulli non si attiva alcuna uscita Se l ingresso I3 è attivo si attiva la sola uscita O3 Altrimenti, se l ingresso I2 è attivo si attiva la sola uscita O2 Altrimenti, se l ingresso I1 è attivo si attiva la sola uscita O1 Altrimenti, se l ingresso I0 è attivo si attiva la sola uscita O0 Quindi ad esempio se gli ingressi I3, I2, I1, I0 valgono 0, 1, 1, 0 le uscite O3 O2 O1 O0 valgono 0, 1, 0, 0 E una rete combinatoria o sequenziale? Perché? Per quale scopo potrebbe essere impiegata una rete del genere?

18 Esercizio 22 La figura mostra come è possibile implementare un semplice gioco fra due contendenti. I due giocatori hanno a disposizione due distinte pulsantiere con tre tasti R, A, B e non vedono le scelte effettuate dall avversario, ma solo un display che mostra lo stato o l esito delle giocate. Le scelte dei giocatori si traducono (tramite RL1) in input per RL2, che esegue i calcoli necessari a determinare l esito e lo rappresenta nelle variabili U1 ed U0 La rete RL3 rende i risultati leggibili ai giocatori, consentendone la visualizzazione in un display a 7 segmenti. Tutti i segnali nella descrizione seguente vengono considerati attivi alti (logica positiva). Tutte le reti che si riterrà di dover realizzare con logiche sequenziali andranno sintetizzate come reti di Moore. RL1: - Non più di un ingresso può essere attivo contemporaneamente. - Se si attiva R le uscite valgono 00 fino alla prossima attivazione di A o B - Se si attiva A le uscite valgono 01 fino alla prossima attivazione di R o B - Se si attiva B le uscite valgono 10 fino alla prossima attivazione di A o R RL2: - Si suppone che inizialmente gli ingressi valgano 0000 con U1 ed U0 = Si suppone che i due giocatori non eseguano mai la loro scelta durante lo stesso periodo di clock (è un ipotesi, non deve essere implementata! Ed è solitamente valida per clock sufficiente alti) - Quando uno dei due giocatori avrà scelto A o B la rete RL2 resta in attesa che il secondo giocatore scelga ed il primo ad aver effettuato la scelta, pur toccando la propria pulsantiera non potrà modificare la scelta effettuata. In questa situazione U1 ed U0 valgono 11 - Quando il secondo giocatore sceglie fra A o B, allora RL2 calcola il vincitore e pone U1U0 = 01 se vince il giocatore connesso con I3I2(cioè P2) ed U1U0 = 10 se vince il giocatore collegato con I1I0 (cioè P1) - Il secondo giocatore a scegliere tra A o B vince se sceglie il simbolo diverso da quello scelto dal primo giocatore - Una volta che il vincitore è stato designato si può ripartire solo quando entrambi i giocatori premono consecutivamente i loro rispettivi pulsanti R RL3: - Quando nessuno dei due giocatori ha scelto fra A o B il display è spento - Quando manca la scelta di uno dei due giocatori il display mostra il carattere 0

19 - Quando vince P1 il display mostra il carattere 1 (segmenti e ed f accesi), quando vince P2 il display mostra il carattere 2 1) Progettare interamente, seguendo tutti i passi della procedura di sintesi, la rete RL1. Prima di iniziare un nuovo passo si descriva cosa si sta per fare, lo si motivi e si commenti, eventualmente, il risultato del passo precedente. Ove possibile eseguire coperture minime 2) Disegnare il diagramma degli stati della rete RL2 3) Sintetizzare la rete F della rete RL2, ove possibile eseguire coperture minime 4) Sintetizzare la rete RL3 senza usare mappe di Karnaugh 5) Si eseguano considerazioni sulla determinazione della frequenza massima nello scenario mostrato Esercizio 23 Si sintetizzi la rete logica R1 in grado di implementare il gioco della morra cinese. Quanti ingressi e quante uscite sono necessarie? Quanti se aggiungiamo i simboli di lucertola e spock? Ricavare per il caso della normale gioco della morra cinese (carta forbice sasso) - tabella di verità - mappe - copertura minima e relative espressioni sia in SP che in PS - lo schema circuitale nel caso SP

20 Esercizio 24 Data la rete sequenziale sincrona mostrata in figura - si dica se è di Mealy o di Moore. - si eseguano i passi dell analisi attraverso le espressioni delle variabili di stato e delle uscite, le mappe, la tabella delle transizioni e quella di flusso. (Per le mappe usare il template seguente) - si disegni il grafo degli stati - si indichi una possibile procedura di inizializzazione per rendere la rete un riconoscitore di sequenze distinte di 3 simboli 1 consecutivi, indipendentemente dallo stato di partenza U D > FFD_ Q x D > FFD_ Q x D > FFD_ Q x I

21 Esercizio 25 Eseguire tutti i passi della sintesi di reti combinatorie per giungere fino all implementazione circuitale di una rete con gli stessi ingressi di un full-adder ed uscite che assumono il valore opposto. Disegnare la forma minima realizzata come prodotto di somme e discutere riguardo all alea statica evidenziando eventuali modifiche necessarie ai raggruppamenti ed allo schema logico. Discutere anche nel caso in cui non siano necessarie modifiche, spiegando il perché Proporre una semplice modifica a livello di schema logico che, usando due XOR aggiuntivi, consenta di usare l ingresso aggiuntivo N nel seguente modo: se N vale 1 allora le uscite devono essere uguali a quelle di un full adder Esercizio 26 Il sistema in figura funziona nel modo seguente: La pressione di un bottone fa partire un impulso rettangolare della durata di un clock. RL3 funziona nel seguente modo: o Il segnale T1 perviene ogni 16 periodi di clock dopo l ultima pressione del pulsante (ad esempio se il pulsante viene premuto periodicamente prima dell arrivo del sedicesimo clock, T1 non varrà mai 1) o Il segnale T2 perviene assieme al quarto T1 dopo l ultima pressione del pulsante (ad esempio se il pulsante viene premuto periodicamente prima che arrivi il quarto T1 allora T2 non si attiverà mai) o Parte da uno stato in cui tutte le uscite hanno valore 0 o Dallo stato di partenza, quando il bottone viene premuto la prima volta, le uscite U2U1U0 varranno 001, se entro l arrivo di T1 il pulsante viene premuto nuovamente U2U1U0 varranno 010, se entro l arrivo di T1 il pulsante viene premuto ancora le uscite varranno U2U1U0=100 e ulteriori pressioni del pulsante verranno ignorate. Se nessuna pressione del pulsante viene effettuata prima dell arrivo di T1 tutte le successive pressioni del pulsante ed i successivi T1 verranno ignorati, e le uscite manterranno il valore corrente. L arrivo di T2 riporta RL3 nello stato di partenza con U2U1U0 = 000. RL1 è collegata in qualche modo agli ingressi del contatore in modo che il funzionamento di RL3 sia quello descritto in relazione alla pressione del pulsante RL2 ha in ingresso le uscite del contatore e, sulla base di esse, controlla T1 e T2 in modo che il funzionamento di RL3 sia quello descritto Specificare se per RL1, RL2 ed RL3 si pensa di impiegare reti sequenziali o combinatorie e motivare la risposta per ciascuna rete. Proporre un implementazione di RL1 Sulla base di come è stata proposta RL1 proporre un implementazione di RL2 che generi T1 ogni 16 clock dall ultima pressione del pulsante e che generi T2 assieme al quarto T1 dopo l ultima pressione del pulsante Considerando il contatore qual è il K minimo è perché? Disegnare il grafo degli stati di RL3

22 Esercizio 27 (con soluzione inclusa nel testo) Si vuole realizzare una rete logica R1 con 2 ingressi a 5 bit (A e B), un ingresso a 2 bit C ed un uscita a 5 bit S. Il valore di C stabilisce come viene calcolato S secondo la specifica riportata in tabella. Tutti gli operandi si considerano con segno e rappresentati in complemento a 2 C1C0 S 00 A+B 01 A-B 10 B-A 11 2A Si riporti la rappresentazione ai morsetti di R1 A 4..0 B 4..0 C A B C S 5 S 4..0

23 Si proponga un implementazione interna che utilizzi solo un Adder, dei Multiplexer dei Not ed il numero minimo di gate logici elementari possibile. A C 1 C in 1 2 C 0 X Adder_5 C 1..0 O 4..0 S 4..0 S 4..0 B Y 4..0 CO C 1..0

24 Supponendo che A e B siano rappresentati in complemento a due, si progetti un rete R2 esterna ad R1 che abbia in ingresso A, B ed S ed in uscita un bit OV che deve valere 1 solo se c è overflow nel caso C1C0 = 00. La situazione di overflow nella somma si verifica solo se ad operandi con segno uguale corrisponde un risultato con segno opposto, quindi occorre verificare la concomitanza di due situazioni: 1) A e B hanno lo stesso segno 2) A o B hanno segno opposto rispetto ad S Se le due condizioni sono entrambe vere allora si ha Overflow A 4 SGN(A)=SGN(B) Overflow B 4 S 4..0 SGN(B) SGN(S) Abbiamo visto a lezione che questo schema può essere notevolmente semplificato, provare ad eseguire tale semplificazione come ulteriore esercizio Quali considerazioni si possono fare su R2 se dovesse operare nel caso C1C0 = 10? In questo caso sto eseguendo B-A. Innanzitutto si può considerare che Invertendo il segno di A nel circuito precedentemente ideato si potrebbe arrivare ad una soluzione funzionante. Tuttavia, essendo la rappresentazione in complemento a 2 asimmetrica, esiste un numero per il quale occorre eseguire un test di funzionamento per poter validare lo schema creato o capire cosa è necessario aggiungervi. In particolare quando A vale -16 cioè e sgn = (A) 0 si ha overflow per B >=0 con sgn(s)=1. Per B>= 1 il circuito direbbe sgn(b)= sgn =0 (A) e sgn(b) sgn(s)=1 Overflow (Corretto) Per B=0 il circuito direbbe sgn(b)=sgn =0 (A) e sgn(b) sgn(s)=1 Overflow (Corretto) Per B <= -1 (11111) il circuito direbbe sgn(b) sgn =0 (A) e sgn(b) sgn(s)=0 OK (Corretto) Quindi la soluzione è lo schema creato al punto precedente

25 Esercizio 2 Determinare il comportamento della rete sequenziale sincrona mostrata in figura. In particolare Si dica quando si attiva l uscita in funzione dei valori degli ingressi passati e presenti L uscita non dipende dall ingresso presente e vale 1 se gli ingressi passati valgono 000 oppure 101 La rete è di Mealy o di Moore? L uscita non dipende dall ingresso presente ma solo dallo stato interno quindi si tratta di una rete di Moore

26 Elementi per la soluzione di esercizi 15 e 16:

27 Copertura minima degli zeri

28 Non minima ma interessante Copertura minima degli uni

29

30 Soluzione esercizio 22 1) Progettare interamente, seguendo tutti i passi della procedura di sintesi, la rete RL1. Prima di iniziare un nuovo passo si descriva cosa si sta per fare, lo si motivi e si commenti, eventualmente, il risultato del passo precedente. Ove possibile eseguire coperture minime Per la progettazione della rete ricavo Grafo degli stati, tabella di flusso, codifica degli stati interni, mappe, coperture minime, espressioni ed infine schema logico.

31 2) Disegnare il diagramma degli stati della rete RL2

32 3) Sintetizzare la rete F della rete RL2, ove possibile eseguire coperture minime Essendo la rete RL2 di Moore, per la rete F mi occorre solo la codifica degli stati

33 4) Sintetizzare la rete RL3 senza usare mappe di Karnaugh 5) Si eseguano considerazioni sulla determinazione della frequenza massima nello scenario mostrato

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequenziali sincrone ESERCIZIO N. Si esegua la sintesi di una rete sequenziale sincrona caratterizzata da un unico segnale di ingresso (X) e da un unico segnale di uscita (Z),

Dettagli

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Reti Sincrone Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Le variabili di stato future sono quelle all ingresso dei FF-D mentre le variabili di stato presente sono le uscite dei

Dettagli

Reti Logiche T. Esercizi reti sequenziali asincrone

Reti Logiche T. Esercizi reti sequenziali asincrone Reti Logiche T Esercizi reti sequenziali asincrone ESERCIZIO N. 1 Una rete sequenziale asincrona è caratterizzata da due segnali d ingresso A e C e da un segnale di uscita Z. I segnali d ingresso non possono

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone

RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone Soluzione del campito scritto dell 8 settembre 204 7//204 tullio salmon cinotti Analisi di RSS Testo e quesiti - Prima parte

Dettagli

Prova d esame di Reti Logiche T 13 Luglio 2016

Prova d esame di Reti Logiche T 13 Luglio 2016 Prova d esame di Reti Logiche T 13 Luglio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

13/01/2005 COGNOME NOME MATRICOLA. SOLUZIONE ESERCIZIO N. 1 Pagina 1. Si consideri la rete sequenziale asincrona rappresentata in figura:

13/01/2005 COGNOME NOME MATRICOLA. SOLUZIONE ESERCIZIO N. 1 Pagina 1. Si consideri la rete sequenziale asincrona rappresentata in figura: SOLUION SRCIIO N. 1 Pagina 1 Si consideri la rete sequenziale asincrona rappresentata in figura: 0 1 0 1 2 3 4 z 5 6 7 C B A c b a DOMANDA N.1 (PUNTI 1) Si possono presentare problemi di corsa critica?

Dettagli

Modelli per le macchine digitali

Modelli per le macchine digitali Reti sequenziali Modelli per le macchine digitali Ingressi Uscite i(t 0 ) i(t n ) MACCHINA DIGITALE u(t 0 ) u(t n ) TEMPO In generale l uscita di una macchina in un certo istante temporale dipenderà dalla

Dettagli

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

RETI LOGICHE T Ingegneria Informatica. Esercitazione 3 Reti Sequenziali Sincrone

RETI LOGICHE T Ingegneria Informatica. Esercitazione 3 Reti Sequenziali Sincrone RETI LOGICHE T Ingegneria Informatica Esercitazione 3 Reti Sequenziali Sincrone Marco Lippi (marco.lippi3@unibo.it) [Lucidi realizzati da Samuele Salti] Esercizio Sintesi RSS Si vuole progettare una rete

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici RETI SEQUENZIALI : ESERCIZI Massimiliano Giacomin 1 Implementazione di contatori Un contatore è un dispositivo sequenziale che aggiorna periodicamente il suo stato secondo una regola

Dettagli

Esercizio 1. Sintesi ottima SP e NAND

Esercizio 1. Sintesi ottima SP e NAND Esercizio Sintesi ottima SP e NAND x x 0 x 00 3 x 2 00 0 0 0 0 0 0 0 x 4 = 0 X x 0 x 00 3 x 2 00 0 0 0 x 4 = U = x 4 x 2 + x 4 x 3 x + x 2 x x 0 + x 3 x x 0 + x 4 x 3 x 0 + x 3 x 2 x x 0 U nand = (x 4

Dettagli

x y z F x y z F

x y z F x y z F Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Corso di Laurea in Ingegneria Elettronica Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali Tutorato di Calcolatori

Dettagli

a) Si scriva la tabella ingressi-uscite e per ogni mintermine individuato si scriva la forma algebrica corrispondente:

a) Si scriva la tabella ingressi-uscite e per ogni mintermine individuato si scriva la forma algebrica corrispondente: ARCHITETTURA DEI CALCOLATORI E SISTEMI OPERATIVI - ESERCIZI DI LOGICA. 30 OTTOBRE 2015 ESERCIZIO N. 1 LOGICA COMBINATORIA Si progetti in prima forma canonica (SoP) una rete combinatoria avente 4 ingressi

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori...

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori... Indice Prefazione del Prof. Filippo Sorbello........................... VII Prefazione del Prof. Mauro Olivieri............................ Prefazione degli autori.........................................

Dettagli

COMPITO A. Esercizio 1 (17 punti)

COMPITO A. Esercizio 1 (17 punti) Esercizio (7 punti) COMPITO A Si hanno a disposizione due registri sorgente S e S da 6 bit che contengono reali memorizzati in rappresentazione a virgola mobile normalizzata : il primo bit (b ) rappresenta

Dettagli

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno Progetto di Contatori sincroni Mariagiovanna Sami Corso di reti Logiche 8 Anno 08 Introduzione Per le reti sequenziali esistono metodologie di progettazione generali, che partendo da una specifica a parole

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Esercizi sulle Reti Sequenziali Sincronizzate

Esercizi sulle Reti Sequenziali Sincronizzate Esercizi sulle Reti Sequenziali Sincronizzate Corso di Laurea di Ing. Gestionale e di Ing. delle Telecomunicazioni A.A. 27-28 1. Disegnare il grafo di stato di una RSS di Moore avente tre ingressi A, B,

Dettagli

Unita aritmetica e logica. Input e output della ALU. Rappresentazione degli interi. Rappresentazione in modulo e segno. Aritmetica del calcolatore

Unita aritmetica e logica. Input e output della ALU. Rappresentazione degli interi. Rappresentazione in modulo e segno. Aritmetica del calcolatore Unita aritmetica e logica Aritmetica del calcolatore Capitolo 9 Esegue le operazioni aritmetiche e logiche Ogni altra componente nel calcolatore serve questa unita Gestisce gli interi Puo gestire anche

Dettagli

Reti Logiche A Appello del 9 luglio 2009

Reti Logiche A Appello del 9 luglio 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Reti Logiche A Appello del 9 luglio 2009 Matricola Cognome Nome

Dettagli

Appunti di informatica. Lezione 3 anno accademico Mario Verdicchio

Appunti di informatica. Lezione 3 anno accademico Mario Verdicchio Appunti di informatica Lezione 3 anno accademico 2015-2016 Mario Verdicchio Numeri binari in memoria In un calcolatore, i numeri binari sono tipicamente memorizzati in sequenze di caselle (note anche come

Dettagli

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA.

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA. a Esercizio 1. Sintetizzare un circuito sequenziale sincrono in base alle specifiche temporali riportate nel seguito. Il circuito riceve in input solo il segnale di temporizzazione (CK) e produce tre uscite,

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Circuiti Addizionatori

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Circuiti Addizionatori Reti Logiche 1 Prof. B. Buttarazzi A.A. 2009/2010 Circuiti Addizionatori Sommario Circuiti addizionatori Half-Adder Full-Adder CLA (Carry Look Ahead) 21/06/2010 Corso di Reti Logiche 2009/10 2 Addizionatori

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Reti Logiche Combinatorie Modulo 4 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Logica combinatoria Un blocco di logica

Dettagli

Le Alee. La presenza di ritardi nei dispositivi utilizzati può avere l effetto di modificare il comportamento delle uscite in alcuni casi

Le Alee. La presenza di ritardi nei dispositivi utilizzati può avere l effetto di modificare il comportamento delle uscite in alcuni casi Le Alee La presenza di ritardi nei dispositivi utilizzati può avere l effetto di modificare il comportamento delle uscite in alcuni casi Si chiamano Alee (o hazard) quei fenomeni per i quali le uscite,

Dettagli

Componenti notevoli combinatori

Componenti notevoli combinatori Corso di Laurea in Informatica Componenti notevoli combinatori Architettura dei Calcolatori Prof. Andrea Marongiu andrea.marongiu@unimore.it Anno accademico 2018/19 Demultiplexer / Decoder (1/2) Il demultiplexer

Dettagli

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Appello del 12 Gennaio Attenzione:

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Appello del 12 Gennaio Attenzione: Cognome.. Nome.... Architettura degli Elaboratori Classe 3 Prof.ssa Anselmo Appello del 12 Gennaio 2017 Attenzione: Inserire i propri dati nell apposito spazio sottostante e in testa a questa pagina. Preparare

Dettagli

Introduzione. Progetto di Contatori sincroni. Contatori definizioni caratteristiche. Contatori

Introduzione. Progetto di Contatori sincroni. Contatori definizioni caratteristiche. Contatori Progetto di Contatori sincroni Definizioni caratteristiche Contatori Binari Naturali Contatori a codice e modulo liberi ad anello e ad anello incrociato iato Contatori modulo diverso da 2 n 12/12/03 Introduzione

Dettagli

Virtual CPU (Eniac): parte 2

Virtual CPU (Eniac): parte 2 Architettura dei Calcolatori Prof. Enrico Nardelli Università degli Studi di Roma Tor Vergata Virtual CPU (Eniac): parte 2 1 Dove eravamo rimasti OpCode 2 La ALU e le sue funzionalità Operazioni possibili:

Dettagli

I REGISTRI. Reti autonome e contatori

I REGISTRI. Reti autonome e contatori I REGISTRI Il flip-flop Fc carica il valore di F a ogni impulso c. Si può allora dotare la rete di un nuovo ingresso A, che "abilita" (A=1) il caricamento di F, o blocca (A=0) il flip-flop sul suo stato

Dettagli

RETI LOGICHE T Ingegneria Informatica. Esercitazione 2 Reti Sequenziali Asincrone

RETI LOGICHE T Ingegneria Informatica. Esercitazione 2 Reti Sequenziali Asincrone RETI LOGICHE T Ingegneria Informatica Esercitazione 2 Reti Sequenziali Asincrone Marco Lippi (marco.lippi3@unibo.it) [Parte dei lucidi sono stati realizzati da Samuele Salti] Esercizio Sintesi RSA Si vuole

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Somma 3-bit. somma 3-bit con I/O sequenziale. somma 3-bit con I/O sequenziale. Osservazione

Somma 3-bit. somma 3-bit con I/O sequenziale. somma 3-bit con I/O sequenziale. Osservazione RETI COMBINATORIE In una rete combinatoria l uscita è funzione dei soli ingressi u = f () ADDIZIONATORE PARALLELO Addizionatore parallelo (a propagazione di riporto - ripple carry) per numeri binari di

Dettagli

Circuti AND, OR, NOT Porte logiche AND

Circuti AND, OR, NOT Porte logiche AND Circuti AND, OR, NOT Porte logiche AND OR NOT A B C Esempio E = ~((AB) + (~BC)) E NAND e NOR NAND (AND con uscita negata): ~(A B) NOR (OR con uscita negata): ~(A+B) Si può dimostrare che le operazioni

Dettagli

CIRCUITI DIGITALI. La grandezza fisica utilizzata nella maggior parte dei circuiti digitali è la differenza di potenziale (tensione).

CIRCUITI DIGITALI. La grandezza fisica utilizzata nella maggior parte dei circuiti digitali è la differenza di potenziale (tensione). CIRCUITI DIGITALI Un circuito elettronico viene classificato come circuito digitale quando è possibile definire il suo comportamento per mezzo di due soli stati fisici di una sua grandezza caratteristica.

Dettagli

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019 UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019 Esercizio 1 Si realizzi un automa di Mealy che controlla il funzionamento

Dettagli

PROVA SCRITTA DEL MODULO DI NOME: COGNOME: MATRICOLA:

PROVA SCRITTA DEL MODULO DI NOME: COGNOME: MATRICOLA: PROVA SCRITTA DEL MODULO DI CORSO DI LAUREA IN INGEGNERIA ELETTRICA ED ELETTRONICA, INGEGNERIA BIOMEDICA - 6 CFU Settembre 204 NOME: COGNOME: MATRICOLA: ESERCIZIO RETI LOGICHE (0 punti) Si vuole implementare

Dettagli

Addizionatori: metodo Carry-Lookahead. Costruzione di circuiti combinatori. Standard IEEE754

Addizionatori: metodo Carry-Lookahead. Costruzione di circuiti combinatori. Standard IEEE754 Addizionatori: metodo Carry-Lookahead Costruzione di circuiti combinatori Standard IEEE754 Addizionatori Il circuito combinatorio che implementa l addizionatore a n bit si basa su 1-bit adder collegati

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali Reti Logiche Prof. B. Buttarazzi A.A. 29/2 Reti Sequenziali Sommario Analisi di Reti Sequenziali Sintesi di Reti Sequenziali Esercizi 3/6/2 Corso di Reti Logiche 29/ 2 Analisi di Reti Sequenziali Passare

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici RETI LOGICHE: RETI SEQUENZIALI Massimiliano Giacomin 1 LIMITI DELLE RETI COMBINATORIE Nelle reti combinatorie le uscite dipendono solo dall ingresso Þ impossibile far dipendere

Dettagli

Appello di Progettazione di Sistemi Digitali 16 Settembre Docenti: Proff. Gorla e Massini

Appello di Progettazione di Sistemi Digitali 16 Settembre Docenti: Proff. Gorla e Massini Appello di Progettazione di Sistemi Digitali 16 Settembre 2013 - Docenti: Proff. Gorla e Massini Esercizio 1 (3 punti): Convertire in base 4 con rappresentazione in virgola fissa il numero decimale 214,1362

Dettagli

Informatica Generale 1 - Esercitazioni Flowgraph, algebra di Boole e calcolo binario

Informatica Generale 1 - Esercitazioni Flowgraph, algebra di Boole e calcolo binario Informatica Generale 1 - Esercitazioni Flowgraph, algebra di Boole e calcolo binario Daniele Pighin pighin@fbk.eu FBK Via Sommarive, 18 I-38050 Trento, Italy February 27, 2008 Outline 1 Algebra di Boole

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

Prova d esame di Reti Logiche T 10 Giugno 2016

Prova d esame di Reti Logiche T 10 Giugno 2016 Prova d esame di Reti Logiche T 10 Giugno 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

05EKL-Progetto di Circuiti Digitali. Richiami di Reti Logiche

05EKL-Progetto di Circuiti Digitali. Richiami di Reti Logiche 5EKL-Progetto di Circuiti Digitali Tutore: Federico Quaglio federico.quaglio@polito.it -564 44 (44) Richiami di Reti Logiche Tutoraggio # Sommario Richiami di algebra booleana Mappe di Karnaugh Coperture

Dettagli

Esercitazione 1 di verifica

Esercitazione 1 di verifica Architettura degli Elaboratori, 007-08 Esercitazione 1 di verifica Soluzione: mercoledì 10 ottobre Domanda 1 Realizzare una rete combinatoria avente quattro variabili booleane di ingresso a, b,, y e due

Dettagli

ESAME DI ARCHITETTURA I COMPITO A

ESAME DI ARCHITETTURA I COMPITO A ESAME DI ARCHITETTURA I COMPITO A Esercizio (6 punti) Si consideri l automa di Mealy specificato dalla seguente tabella: S S/ S S S2/ S3/ S2 S2/ S3/ S3 S/ S/ S4 S/ S S5 S2/ S3/ ) Disegnare l automa. 2)

Dettagli

SCHEDA N D05_02A Data: 28/01/2005. ESERCIZIO N 2 7 punti. ESERCIZIO N 3 6 punti. ESERCIZIO N 4 7 punti. ESERCIZIO N 1 8 punti.

SCHEDA N D05_02A Data: 28/01/2005. ESERCIZIO N 2 7 punti. ESERCIZIO N 3 6 punti. ESERCIZIO N 4 7 punti. ESERCIZIO N 1 8 punti. SCHEDA N D05_02A Data: 2/01/2005 Nome Valutazione: ESERCIZIO N 2 7 punti Determinare la caratteristica di trasferimento a vuoto della seguente porta. Si assuma V CC 5 V. Determinare la corrente di uscita

Dettagli

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna Logica binaria Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna http://www.moreno.marzolla.name/ Logica binaria 2 Rappresentazione dell'informazione I calcolatori

Dettagli

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie apitolo 6 Reti asincrone Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso determina: una

Dettagli

Tecniche di semplificazione. Circuiti digitali notevoli

Tecniche di semplificazione. Circuiti digitali notevoli Architettura degli Elaboratori e delle Reti Lezione 5 Tecniche di semplificazione Circuiti digitali notevoli F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano A.A.

Dettagli

Programmazione I Paolo Valente /2017. Lezione 6. Notazione posizionale

Programmazione I Paolo Valente /2017. Lezione 6. Notazione posizionale Lezione 6 Notazione posizionale Ci sono solo 10 tipi di persone al mondo: quelle che conoscono la rappresentazione dei numeri in base 2, e quelle che non la conoscono... Programmazione I Paolo Valente

Dettagli

Unità Aritmetico-Logica

Unità Aritmetico-Logica Unità Aritmetico-Logica A ritmethic L ogic U nit E l unità che esegue le operazioni aritmetiche e le operazioni logiche AND e OR 1-bit ALU : è una componente dell ALU che produce un singolo bit sui 32

Dettagli

Esercitazioni di Reti Logiche. Lezione 5

Esercitazioni di Reti Logiche. Lezione 5 Esercitazioni di Reti Logiche Lezione 5 Circuiti Sequenziali Zeynep KIZILTAN zeynep@cs.unibo.it Argomenti Circuiti sequenziali Flip-flop D, JK Analisi dei circuiti sequenziali Progettazione dei circuiti

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori circuiti combinatori: ALU slide a cura di Salvatore Orlando, Marta Simeoni, Andrea Torsello 1 ALU ALU (Arithmetic Logic Unit) circuito combinatorio all interno del processore per l esecuzione di istruzioni

Dettagli

Elementi di memoria Ciascuno di questi circuiti è caratterizzato dalle seguenti proprietà:

Elementi di memoria Ciascuno di questi circuiti è caratterizzato dalle seguenti proprietà: I circuiti elettronici capaci di memorizzare un singolo bit sono essenzialmente di due tipi: LATCH FLIP-FLOP. Elementi di memoria Ciascuno di questi circuiti è caratterizzato dalle seguenti proprietà:

Dettagli

Livello logico digitale

Livello logico digitale Livello logico digitale circuiti combinatori di base e circuiti sequenziali Half Adder - Semisommatore Ingresso 2 bit, uscita 2 bit A+ B= ------ C S C=AB S=AB + AB=A B A B In Out HA A B C S S HA A C S

Dettagli

Tutorato architettura degli elaboratori modulo I (lezione 4)

Tutorato architettura degli elaboratori modulo I (lezione 4) Tutorato architettura degli elaboratori modulo I (lezione 4) Moretto Tommaso 7 December 27 Automa di Moore Un automa di Moore può essere definito come una quintupla (S, Σ, G,, T) costituita da: un insieme

Dettagli

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND.

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND. 1 Elementi di memoria: flip-flop e registri Porte logiche elementari CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Livello fisico

Dettagli

Contatore avanti-indietro Modulo 4

Contatore avanti-indietro Modulo 4 Contatore avanti-indietro Modulo 4 Un contatore avanti-indietro modulo 4 è un dispositivo a due uscite, che genera su queste la sequenza dei numeri binari da 0 a 4 cioè: 00->01->10->11 Il sistema dispone

Dettagli

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Appello del 10 Febbraio Attenzione:

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Appello del 10 Febbraio Attenzione: Cognome.. Nome.... Architettura degli Elaboratori Classe 3 Prof.ssa Anselmo Appello del 10 Febbraio 2017 Attenzione: Inserire i propri dati nell apposito spazio sottostante e in testa a questa pagina.

Dettagli

Calcolatori Elettronici da 6CFU (CdL Ingegneria Informatica) Esame del 20 luglio 2018 tempo a disposizione: 1 ora e 25 minuti

Calcolatori Elettronici da 6CFU (CdL Ingegneria Informatica) Esame del 20 luglio 2018 tempo a disposizione: 1 ora e 25 minuti Calcolatori Elettronici da 6CFU (CdL Ingegneria Informatica) Esame del 20 luglio 2018 tempo a disposizione: 1 ora e 25 minuti Domanda 1 Rappresentare il numero -3 nel sistema di rappresentazione in eccesso

Dettagli

Fondamenti di Informatica - 1. Prof. B.Buttarazzi A.A. 2011/2012

Fondamenti di Informatica - 1. Prof. B.Buttarazzi A.A. 2011/2012 Fondamenti di Informatica - 1 Prof. B.Buttarazzi A.A. 2011/2012 Sommario Rappresentazione dei numeri naturali (N) Rappresentazione dei numeri interi (Z) Modulo e segno In complemento a 2 Operazioni aritmetiche

Dettagli

Arithmetic and Logic Unit e moltiplicatore

Arithmetic and Logic Unit e moltiplicatore Arithmetic and Logic Unit e moltiplicatore M. Favalli Engineering Department in Ferrara (ENDIF) ALU - multiplier Analisiesintesideicircuitidigitali 1 / 34 Sommario 1 Arithmetic and Logic Unit - ALU 2 Moltiplicatore

Dettagli

Architettura degli Elaboratori A Modulo 2

Architettura degli Elaboratori A Modulo 2 ALU Architettura degli Elaboratori A Modulo 2 ALU slides a cura di Andrea Torsello e Salvatore Orlando ( Unit ALU (Arithmetic Logic circuito combinatorio all interno del processore per l esecuzione di

Dettagli

Per gli esercizi sulla algebra booleana, si consiglia di verificare tramite tabelle di verità le equivalenze logiche proposte sulle dispense.

Per gli esercizi sulla algebra booleana, si consiglia di verificare tramite tabelle di verità le equivalenze logiche proposte sulle dispense. Fondamenti di Informatica - A. Fantechi Raccolta di esercizi Per gli esercizi sulla algebra booleana, si consiglia di verificare tramite tabelle di verità le equivalenze logiche proposte sulle dispense.

Dettagli

Architetture degli Elaboratori I II Compito di Esonero (A) - 16/1/1997

Architetture degli Elaboratori I II Compito di Esonero (A) - 16/1/1997 1 II Compito di Esonero (A) - 16/1/1997 Non è ammessa la consultazione di nessun testo, nè l utilizzo di nessun tipo di calcolatrice. Ogni esercizio riporta, fra parentesi, il suo valore in trentesimi

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Una macchina sequenziale è definita dalla quintupla I è l insieme finito dei simboli d ingresso U è l insieme finito dei simboli

Dettagli

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo.

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. Compito A Esercizio (2 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S / S 2 / S 3 / S 4 / S 5 / Esercizio 2 (5 punti) Progettare un circuito il cui output

Dettagli

Esercizio 4.3. Esercizio 4.4

Esercizio 4.3. Esercizio 4.4 4 Esercizio 4.3 La rete di Figura 4.1 del testo è un latch realizzato con porte NOR. Sostituendo le porte NOR con porte NAND si ottiene la rete di Figura 4.1. Figura 4.1 Rete dell Esercizio 4.3. Nella

Dettagli

62/8=,21,'(*/,(6(5&,=,

62/8=,21,'(*/,(6(5&,=, 62/8=,2,'(*/,(6(5&,=, $5&+,7(7785$'(*/,(/$%25$725,DD ±$5*2(7,35(5(48,6,7, SUHUHTXLVLWL: 5$335(6(7$=,2('(//(,)25$=,2,. convertire 25493 a binario (6 bit) e ad esadecimale; bisogna dividere per due considerando

Dettagli

senza stato una ed una sola

senza stato una ed una sola Reti Combinatorie Un calcolatore è costituito da circuiti digitali (hardware) che provvedono a realizzare fisicamente il calcolo. Tali circuiti digitali possono essere classificati in due classi dette

Dettagli

Alee in macchine combinatorie

Alee in macchine combinatorie Corso di Calcolatori Elettronici I A.A. 2010-2011 Alee in macchine combinatorie Lezione 12 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Le Alee La presenza di ritardi nei dispositivi

Dettagli

APPUNTI DI ELETTRONICA DIGITALE

APPUNTI DI ELETTRONICA DIGITALE APPUNTI DI ELETTRONICA DIGITALE Prerequisiti: Conoscere il sistema di numerazione binario Modulo 1 1. Concetti fondamentali L elettronica digitale tratta segnali di tipo binario, cioè segnali che possono

Dettagli

Moltiplicazione e ALU

Moltiplicazione e ALU Moltiplicazione e ALU Docente teoria: prof. Federico Pedersini (https://homes.di.unimi.it/pedersini/ae-inf.html) Docente laboratorio: Matteo Re (https://homes.di.unimi.it/re/arch1-lab-2017-2018.html) 1

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ EGLI STUI I PARMA FACOLTÀ I INGEGNERIA Corso di Reti Logiche A anno accademico 2007-2008 prof. Stefano CASELLI prof. William FORNACIARI Appello dell 8 Gennaio 2008 Bozza soluzioni del 07.01.2008

Dettagli

Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettrica ed Elettronica

Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettrica ed Elettronica Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettrica ed Elettronica Capitolo 6 Unità di Elaborazione Aritmetica di Macchina Progettazione ALU Outline Aritmetica

Dettagli

Unità aritmetica e logica

Unità aritmetica e logica Aritmetica del calcolatore Capitolo 9 Unità aritmetica e logica n Esegue le operazioni aritmetiche e logiche n Ogni altra componente nel calcolatore serve questa unità n Gestisce gli interi n Può gestire

Dettagli

Esercizio 1. semaforo verde semaforo rosso T V1 VG 1. semaforo verde-giallo semaforo rosso T G V 2. semaforo rosso semaforo verde T V2 VG 2

Esercizio 1. semaforo verde semaforo rosso T V1 VG 1. semaforo verde-giallo semaforo rosso T G V 2. semaforo rosso semaforo verde T V2 VG 2 Esercizio 1 Il sistema di controllo di un impianto semaforico posto all incrocio di due strade deve operare secondo due distinte modalità di funzionamento, selezionate rispettivamente dal valore logico

Dettagli

I CONTATORI. Definizioni

I CONTATORI. Definizioni I CONTATORI Definizioni. I contatori sono dispositivi costituiti da uno o più flip-flop collegati fra loro in modo da effettuare il conteggio di impulsi applicati in ingresso. In pratica, i flip-flop,

Dettagli

Q1 D. CK Qn CK Q1. E3x - Presentazione della lezione E3

Q1 D. CK Qn CK Q1. E3x - Presentazione della lezione E3 E3x - Presentazione della lezione E3 1/1- Obiettivi» ivisori di frequenza e contatori asincroni» Contatori sincroni» Shift register e convertitori SIPO e PISO» Concetto elementare di macchina a stati finiti

Dettagli

SECONDA PROVA INTERMEDIA DEL MODULO DI

SECONDA PROVA INTERMEDIA DEL MODULO DI SECONDA PROVA INTERMEDIA DEL MODULO DI CORSO DI LAUREA IN INGEGNERIA ELETTRICA, ELETTRONICA ED INFORMATICA CORSO DI LAUREA IN INGEGNERIA BIOMEDICA ISCRITTI ALL A.A. 2017/18 e precedenti 3 giugno 2019 NOME:

Dettagli

Calcolatori Elettronici T. Complementi ed Esercizi di Reti Logiche

Calcolatori Elettronici T. Complementi ed Esercizi di Reti Logiche Calcolatori Elettronici T Complementi ed Esercizi di Reti Logiche Introduzione Reti Logiche: sintesi mediante approccio formale Specifiche del Problema Grafo degli Stati Tabella di Flusso Tabella delle

Dettagli

Es. 05. Addizionatori (Half Adder, Full Adder); sommatori a n bit (con e. complemento a due e sottrazione; overflow.

Es. 05. Addizionatori (Half Adder, Full Adder); sommatori a n bit (con e. complemento a due e sottrazione; overflow. Es. 05 Addizionatori (Half Adder, Full Adder); sommatori a n bit (con e senza riporto); conversione in complemento a due e sottrazione; overflow. Es. 1 Si scriva la tabella di verità per un addizionatore

Dettagli

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali Porte logiche di base Cenni circuiti, reti combinatorie, reti sequenziali NOT AND A R A B R OR A R B Quindi NAND o NOR sono complete circuiti con solo porte NAND o solo porte NOR. Reti combinatorie Rete

Dettagli

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica Tutorato di Ing. Roberto Casula Ing. Rita Delussu casula.roberto103@hotmail.it rita.delussu2016@gmail.com Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica Progettare un riconoscitore

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici Lezione 11 -- 19/1/2012 Reti Logiche: esercizi sulle le reti combinatorie Emiliano Casalicchio emiliano.casalicchio@uniroma2.it Argomenti della lezione Reti combinatorie Decoder,

Dettagli

Logica binaria. Cap. 1.1 e 2.1 dispensa

Logica binaria. Cap. 1.1 e 2.1 dispensa Logica binaria Cap.. e 2. dispensa Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna http://www.moreno.marzolla.name/ Logica binaria 2 / 24 Rappresentazione

Dettagli

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 7

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 7 Compito A Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 3 1/1 0/0 S 6 S 7 S 1 S 2 S 4 S 5 0/0 1/1 Esercizio 2 (15 punti) Progettare un

Dettagli

Aritmetica binaria e circuiti aritmetici

Aritmetica binaria e circuiti aritmetici Aritmetica binaria e circuiti aritmetici Architetture dei Calcolatori (lettere A-I) Addizioni binarie Le addizioni fra numerali si effettuano cifra a cifra (come in decimale) portando il riporto alla cifra

Dettagli

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali Titolo lezione Fondamenti di informatica II 1 Sintesi di reti logiche sequenziali Reti combinatorie e sequenziali Fondamenti di informatica II 2 Due sono le tipologie di reti logiche che studiamo Reti

Dettagli