Sistemi logici complessi

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Sistemi logici complessi"

Transcript

1 Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione dei componenti assemblaggio su un circuito stampato componenti sono circuiti integrati ad esempio della serie 74 o della serie 54 (specifiche militari), realizzati in tecnologia TTL, TTL Schottky, TTL Schottky Low Power, CMOS etc. contenenti porte logiche. Al crescere della complessità del sistema l approccio precedente diventa poco pratico per l aumento di costo, di dissipazione di potenza, di ritardo di propagazione e di ingombro ed inoltre per la relativa semplicità con cui un circuito può essere clonato

2 Dispositivi Logici Programmabili Sono circuiti ad elevata scala di integrazione che possono essere opportunamente programmato (anche dall utente finale) per realizzare una specifica funzione logica senza aggiungere o rimuovere componenti. La programmazione avviene mediante descrizione ad alto livello in opportuni ambienti di sviluppo (Hardware Description Language) La programmazione può essere: Reversibile Non Reversibile Volatile Non Volatile

3 Definizioni PLD (Programmable Logic Device)o FPD (Field-Programmable Device) SPLD (Simple Programmable Logic Device) CPLD (Comple Programmable Logic Device) PLA (Programmable Logic Array) Sono costituiti da un insieme di SPLD [piani AND e OR programmabili] sullo stesso chip PAL (Programmable Array Logic) [piano AND programmabile e OR fisso] FPGA (Field-Programmable Gate Array) CPLD con meno ingressi e un maggior numero di Flip-Flop

4 Definizioni Programmable Switch ( nterruttore Programmabile ) consente di connettere tra loro elementi logici o fili mediante comando esterno Logic Capacity (Capacità logica) ammontare della logica esprimibile dal PLD in termini di numero equivalente di porte NAND a due ingressi Logic Density (Densità logica) ammontare della logica per unità di area del PLD

5 nterruttori Programmabili Non reversibili-non Volatili Fuse (Fusibili) Antifuse (Antifusibili) Dielettrico Poly-Si Ossido Diffusione N Substrato di silicio + Aprono il circuito se attraversati da corrente elevata tale da bruciare il resistore Chiudono il circuito se viene applicata una tensione elevata tale da perforare il dielettrico

6 nterruttori Programmabili Reversibili-Non Volatili MOS a doppia Gate Transistor per EPROM Transistor per EEPROM Programmabili fuori dal circuito Programmabili nel circuito

7 nterruttori Programmabili Reversibili-Volatili Sono basati sull utilizzo di memorie statiche SRAM per pilotare la gate di transistor (pass-transistor) usati per connettere due fili

8 nterruttori Programmabili Riepilogo nterruttore Riprogrammabile Volatile Tecnologia Fusibile No No Bipolare Antifusibile No No CMOS EPROM Si (fuori dal circuito) No UV-CMOS EEPROM Si (nel circuito) No EE-CMOS SRAM Si (nel circuito) Si CMOS

9 Capacità Logica 0000 Capacità Logica SPLD CPLD FPGA

10 Programmazione dei PLD Linguaggi più usati per la programmazione dei PLD: ABEL Advanced Boolean Equation Language E utilizzato per piccoli moduli VHDL Very high speed integrated circuit Hardware Description Language E utilizzato per moduli complessi linguaggi producono un bit stream che viene inviato al modulo per la programmazione sul quale è inserito il PLD

11 Programmazione dei PLD Specifiche Descrizione VHDL FPGA CPLD Sintesi Simulazione funzionale Fitting Netlist Simulazione timing Mappa di programmazione (Bit Stream)

12 Struttura dei PLA N variabili in ingresso Piano AND P termini di prodotto Piano OR M termini di uscita Si possono ottenere M espressioni logiche ognuna con P termini di somma e N prodotti per ciascun termine

13 Struttura dei PLA A B C D 3 Schema logico di un PLA con 4 ingressi e 3 uscite Le indicano i punti di connessione programmabile

14 Realizzazione dei PLA A B C D AND Output Le due matrici implementano funzioni AND che diventano NAND a causa degli invertitori Realizzazione di un PLA mediante diodi e fusibili. La funzione complessiva su ciascuna uscita è la NAND delle NAND degli ingressi cioè la OR delle AND degli ingressi 3 = (AB)(AB) = AB + AB

15 Realizzazione dei PLA A B NOR C D Output Le due matrici implementano funzioni NOR che, nella seconda, diventano OR a causa degli invertitori Realizzazione di un PLA mediante NMOS e fusibili. La funzione complessiva su ciascuna uscita è la OR delle NOR degli ingressi cioè la OR delle AND degli ingressi negati (non è un problema perché gli ingressi sono disponibili anche in forma negata) 3 = A + B + A + B = AB + AB

16 Esempio di funzioni con PLA + = AA AA A3A4 = AA3A4 + AA3 + A 3 = AA + AA3A 4 + AA A 4 A A A 3 A 4 Ovviamente le AND e le OR indicate nello schema sono solo simboliche 3 Realizzazione delle tre funzioni 3

17 Ottimizzazione dei PLA A _ A B _ B C _ C 0 _ A+C _ B+C A+B+C 3 B+C 4 5 _ A+B+C _ A+C = 6 A+C 7 _ A+B+C Vengono utilizzate solo il 40% delle possibili connessioni

18 Operazione di taglio e _ ripiegamento nei PLA A B C Le righe vengono spostate e vengono praticati tagli per compattare la matrice. Ovviamente si perde di generalità ma si guadagna in area occupata A _ Dopo la riorganizzazione delle righe si utilizza il 79% delle connessioni B _ C

19 Dispositivi PAL 3 4 E programmabile solo il piano AND e, in questo caso, ogni uscita può avere solo 3 termini prodotto. Nelle PAL commerciali il numero di termini prodotto varia da 6 a 8. 3

20 Esempio di PAL 3 4 = = = Valori di uscita sempre 0 in quanto ottenuti dalla AND di una variabile e la sua negata Se un termine prodotto è necessario per due uscite viene calcolato due volte come i termini 4 e 3

21 Logica in due passi per dispositivi PAL Per consentire la realizzazione di funzioni con un numero maggiore di termini prodotto si ricorre alla logica in due passi, cioè alcune uscite vengono inviate come nuovi ingressi al piano AND 3 4 Si aumenta la flessibilità ma anche il ritardo di propagazione 3

22 Logica in due passi per dispositivi PAL Realizzazione delle funzioni e con una PAL a 3 prodotti = = = Si utilizza l uscita 3 per generare i primi due termini della funzione 3

23 Selezione della polarità in uscita per dispositivi PAL Realizzazione delle funzioni e con una PAL a 3 prodotti = = Non è possibile implementare le funzioni direttamente, neanche con la logica in due passi, perché sia che presentano più di 3 termini prodotto. Sarebbe invece possibile implementare che contiene = 34 un solo termine prodotto e usare: + 3 = 3 3 come nel caso precedente, in una logica a due passi. Occorre quindi negare le uscite!

24 PAL con polarità dell uscita programmabile 3 4 A B A XOR B Z Z Z 3 3 Si utilizzano porte XOR programmabili in cui uno dei due ingressi può essere posto o a 0 o a ottenendo in uscita =Z oppure =Z, rispettivamente

25 PAL con polarità dell uscita programmabile = =

26 PAL con terminali /O programmabili 3 4 Si utilizza un buffer tristate, pilotato da una uscita dedicata del piano AND, per avere 3 come terminale di /O L abilitazione del tristate può essere funzione degli ingressi o essere fissata, a secondo dei casi. 3

27 PLD sequenziali 3 Contengono Flip-Flop, per consentire la realzzazione di circuiti sequenziali. 4 Clock D Q D Q 3

28 PAL sequenziali con terminali /O programmabili 3 4 Clock D Q D Q 3

29 Schema a blocchi semplificato di PAL MATRCE LOGCA PROGAMMABLE La struttura può essere vista come costituita da una matrice logica e diverse macrocelle in uscita. Clock MACROCELLE D USCTA

30 Possibile struttura delle macrocelle Abilitazione tristate /O pin Clock Feedback multipleer - programmabili consentono di escludere completamente il Flip-Flop, conservando in ogni caso la possibilità di avere il terminale /O programmabile.

31 Macrocella in modalità sequenziale e combinatoria Abilitazione tristate /O pin Sequenziale Clock Feedback Abilitazione tristate /O pin Combinatoria Feedback

32 CPLD Blocco Logico Blocco Logico /O Blocco Logico Blocco Logico nterconnessioni programmabili Blocco Logico Blocco Logico /O Blocco Logico Blocco Logico Consentono di aumentare le potenzialità del sistema senza aumentare eccessivamente il numero di ingressi dei singoli blocchi logici, ciascuno costituito da una matrice tipo PAL. nfatti aumentare troppo il numero di ingressi del singolo blocco comporterebbe insostenibili problemi di FAN-N delle porte che costituiscono il piano AND. l ritardo complessivo dipende poco dalla complessità del progetto..

33 Schema di blocco logico di un CPLD termini prodotto Macrocella dalla matrice di interconnessioni programmabile Matrice AND programmabile 80 termini prodotto allocatore dei termini prodotto termini prodotto Macrocella verso la matrice di interconnessioni programmabile termini prodotto Macrocella 6. Cypress 370

34 Caratteristiche dei CPLD della famiglia Altera FLEX0K Sigla EPF0K0 EPF0K30 EPF0K50 EPF0K00 Capacità Logica N. Blocchi Logici N. Flip-Flop N. Pin /O

35 Struttura generale degli FPGA Canali di collegamento Celle logiche Le celle logiche hanno una funzionalità ridotta rispetto ai blocchi logici dei CPLD ma sono in numero molto maggiore. Gli FPGA presentano quindi una architettura a grana fine ed inoltre il numero elevato di celle consente di avere a disposizione un numero elevato di Flip-Flop..

36 Struttura interna di un FPGA nterconnessioni programmabili Blocchi di /O Celle logiche l ritardo complessivo di un FPGA dipende in maniera marcata dallo schema che si vuole realizzare, a differenza dei CPLD.

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

Dispositivi logici programmabili (PLD)

Dispositivi logici programmabili (PLD) 58 G2 H2 Dispositivi logici programmabili (PLD) Un dispositivo logico programmabile costituisce una grande piattaforma sulla quale è possibile cablare circuiti che richiederebbero una gran quantità di

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

Circuiti integrati semi-custom

Circuiti integrati semi-custom Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Introduzione Qualsiasi sistema digitale

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Capitolo 2 Tecnologie dei circuiti integrati 33

Capitolo 2 Tecnologie dei circuiti integrati 33 Indice Prefazione XIII Capitolo 1 Circuiti digitali 1 1.1 Introduzione 1 1.2 Discretizzazione dei segnali 4 1.3 L invertitore ideale 6 1.4 Porte logiche elementari 6 1.4.1 Porte elementari come combinazioni

Dettagli

Algebra e circuiti elettronici

Algebra e circuiti elettronici Algebra e circuiti elettronici I computer operano con segnali elettrici con valori di potenziale discreti Sono considerati significativi soltanto due potenziali (high/ low); i potenziali intermedi, che

Dettagli

Chapter 6 Selected Design Topics

Chapter 6 Selected Design Topics Logic and Computer Design Fundamentals Chapter 6 Selected Design Topics Part 4 Programmable Implementation Technologies Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali.

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali. INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1 1.2) Interruttori ideali e reali. 1 1.3) Condizioni operative statiche del transistore a giunzione. 5

Dettagli

Logica cablata (wired logic)

Logica cablata (wired logic) Logica cablata (wired logic) Cosa succede quando si collegano in parallelo le uscite di più porte appartenenti alla stessa famiglia logica? Si realizza una ulteriore funzione logica tra le uscite Le porte

Dettagli

Fondamenti di Informatica B

Fondamenti di Informatica B Fondamenti di Informatica B Lezione n. 8 Alberto Broggi Gianni Conte A.A. 2005-2006 Fondamenti di Informatica B DESCRIZIONE LIVELLO REGISTRO REGISTER TRANSFER LEVEL (RTL) I MODULI BASE RTL STRUTTURE DI

Dettagli

COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 ALLIEVI INFORMATICI J-Z

COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 ALLIEVI INFORMATICI J-Z COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 sufficiente al superamento della prova e non rende possibile l accesso alla prova orale. Quesito n.1: Confrontare, a parità di dispositivo di carico e di

Dettagli

Le memorie non volatili A.Carini Elettronica digitale

Le memorie non volatili A.Carini Elettronica digitale Le memorie non volatili A.Carini Elettronica digitale Memorie Circuiti che possono contenere un numero elevato di informazioni binarie in maniera organizzata e possono fornirle in uscita mediante una operazione

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

Architettura dei Field- Programmable Gate Array

Architettura dei Field- Programmable Gate Array Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Field-Programmable Gate Arrays A.a. 2004-2005 Architettura dei Field- Programmable Gate Array Un FPGA è un circuito integrato

Dettagli

Gate Arrays. Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1

Gate Arrays. Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1 Gate Arrays Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1 Circuti integrati Un insieme di porte logiche costruite

Dettagli

Il livello logico digitale

Il livello logico digitale Il livello logico digitale prima parte Introduzione Circuiti combinatori (o reti combinatorie) Il valore dell uscita in un determinato istante dipende unicamente dal valore degli ingressi in quello stesso

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Moduli combinatori Barbara Masucci

Moduli combinatori Barbara Masucci Architettura degli Elaboratori Moduli combinatori Barbara Masucci Punto della situazione Ø Abbiamo studiato le reti logiche e la loro minimizzazione Ø Obiettivo di oggi: studio dei moduli combinatori di

Dettagli

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione Indice Introduzione I VII Capitolo 1 Circuiti integrati digitali 1.0 Introduzione 1 1.1 Processo di integrazione CMOS 2 1.2 Caratteristiche elettriche dei materiali 11 1.2.1 Resistenza 11 1.2.1.1 Contatti

Dettagli

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr.

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr. Laboratorio II, modulo 2 2016-2017 Elettronica digitale (2 a parte) (cfr. http://physics.ucsd.edu/~tmurphy/phys121/phys121.html) Esempio (reale) di comparatore + V V in + R V out V ref - V out V ref V

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti Architettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili.

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili. Di cosa parliamo in questa lezione? Lezione E5 Logiche In questa lezione si descrivono i dispositivi logici Logiche Sistemi embedded e real-time 2 Struttura degli 24 gennaio 23 3 Dipartimento di Ingegneria

Dettagli

Circuiti combinatori notevoli

Circuiti combinatori notevoli Circuiti combinatori notevoli Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano Riferimenti: Sezione C3. 1/33 Sommario Implementazione

Dettagli

Circuiti e reti combinatorie. Appendice A (libro italiano) + dispense

Circuiti e reti combinatorie. Appendice A (libro italiano) + dispense Circuiti e reti combinatorie Appendice A (libro italiano) + dispense Linguaggio del calcolatore Solo assenza o presenza di tensione: o Tante componenti interconnesse che si basano su e Anche per esprimere

Dettagli

Circuiti Combinatori

Circuiti Combinatori Circuiti Combinatori circuiti combinatori sono circuiti nei quali le uscite dipendono solo dalla combinazione delle variabili logiche presenti nello stesso istante all ingresso Essi realizzano: Operazioni

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Circuiti digitali Architettura 2002

Circuiti digitali Architettura 2002 Circuiti digitali Architettura 2002 Roberto Bisiani, 2000,2001, 2002 3/2002 1 Argomenti trattati in...! Queste trasparenze! Patterson & Hennessy! Appendice B senza sezione su PLA! Capitolo 1 3/2002 2 Argomenti

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Testo di riferimento: [Congiu] - 2.4 (pagg. 37 57) Reti Logiche Combinatorie 00.b Analisi Minimizzazione booleana Sintesi Rete logica combinatoria: definizione 2 Una rete logica combinatoria èuna rete

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Capitolo 1 Dispostivi Logici Programmabili Il progetto di sistemi digitali, no a non molti anni fa, era basato sull'utilizzo di circuiti logici standard a bassa ed a media scala di integrazione, della

Dettagli

ASIC CARATTERISTICHE GENERALI INTRODUZIONE

ASIC CARATTERISTICHE GENERALI INTRODUZIONE ASIC CARATTERISTICHE GENERALI INTRODUZIONE La complessità di un circuito di elettronica digitale rende spesso difficoltosa la realizzazione del circuito stampato. Inevitabilmente ciò comporta l aumento

Dettagli

La seconda forma canonica Circuiti notevoli. Sommario

La seconda forma canonica Circuiti notevoli. Sommario La seconda forma canonica Circuiti notevoli Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano Riferimenti: Sezione C3. 1/41 Sommario

Dettagli

Architettura degli Elaboratori 4 - Reti Combinatorie e Algebra di Boole

Architettura degli Elaboratori 4 - Reti Combinatorie e Algebra di Boole Architettura degli Elaboratori 4 - Reti Combinatorie e Algebra di Boole Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Università degli Studi di Bologna Anno Accademico 2008/2009 Sommario Porte

Dettagli

Giancarlo Rini (rini) FPGA. 29 September 2011

Giancarlo Rini (rini) FPGA. 29 September 2011 Giancarlo Rini (rini) FPGA 29 September 2011 Con questa articolo si vuole dare una breve descrizione dell'architettura interna di una FPGA. Cercare di capire quali sono i pregi e i difetti di questo strumento

Dettagli

Computer Aided Design. Matteo Montani

Computer Aided Design. Matteo Montani Computer Aided Design Matteo Montani Sommario Gestione di progetti logici complessi Metodologie di progetto (sintesi - verifica) Strategie di implementazione di circuiti digitali - Full custom (layout)

Dettagli

Logiche programmabili

Logiche programmabili Appendice C Logiche programmabili C.1 Sommario Dopo aver elencato le diverse tipologie di logiche programmabili evidenziandone i principali pregi e difetti si passa ad una descrizione particolareggiata

Dettagli

ESERCITAZIONE 2. Algebre di Boole e funzioni logiche Circuiti combinatori e sequenziali

ESERCITAZIONE 2. Algebre di Boole e funzioni logiche Circuiti combinatori e sequenziali ESERCITAZIONE 2 Algebre di Boole e funzioni logiche Circuiti combinatori e sequenziali 2 Algebre di Boole e funzioni logiche 13/11/2017 3 Algebre di Boole e funzioni logiche (1) 1) Rappresentare le funzioni

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

VLSI. 5.5 Reti programmabili. Le reti combinatorie programmabili. La programmazione del hardware. documentazione test produzione verifica progetto

VLSI. 5.5 Reti programmabili. Le reti combinatorie programmabili. La programmazione del hardware. documentazione test produzione verifica progetto VLSI $ 55 Reti programmabili Milioni di gate documentazione test produzione verifica progetto N omportamento programmabile osti molto alti Volumi molto grandi unzioni di n variabili La del hardare macchina

Dettagli

Antonio D'Amore I CIRCUITI DI COMMUTAZIONE

Antonio D'Amore I CIRCUITI DI COMMUTAZIONE Antonio D'Amore I CIRCUITI DI COMMUTAZIONE INDICE CAPITOLO I - SISTEMI DI NUMERAZIONE E CODICI 1.1) Sistema di numerazione decimale. 1 1.2) Sistemi di numerazione a base qualsiasi. 1 1.3) Conversione tra

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili.

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. GLOSSARIO A ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. AHDL Altera Hardware Description Language. Linguaggio di descrizione dell hardware sviluppato

Dettagli

4 STRUTTURE CMOS. 4.1 I componenti CMOS

4 STRUTTURE CMOS. 4.1 I componenti CMOS 4.1 4 STRUTTURE CMOS 4.1 I componenti CMOS Un componente MOS (Metal-Oxide-Silicon) transistor è realizzato sovrapponendo vari strati di materiale conduttore, isolante, semiconduttore su un cristallo di

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche Elettronica II - Dante Del Corso - Gruppo B - 7 n. 1-01/11/97

Dettagli

ELETTRONICA II. Prof. Pierluigi Civera - Politecnico di Torino. Gruppo C: Circuiti combinatori e sequenziali Lezione n.

ELETTRONICA II. Prof. Pierluigi Civera - Politecnico di Torino. Gruppo C: Circuiti combinatori e sequenziali Lezione n. ELETTRONICA II Prof. Pierluigi Civera - Politecnico di Torino Gruppo C: Circuiti combinatori e sequenziali Lezione n. 10 - C - 1: Circuiti combinatori reali Gruppo B: Circuiti combinatori e sequenziali

Dettagli

Elettronica digitale: cenni

Elettronica digitale: cenni Elettronica digitale: cenni VERSIONE 30.5.01 Non solo analogico La gestione di informazione prevede tipicamente fasi di elaborazione, in cui occorre calcolare funzioni ( qual è la somma di questi due valori?

Dettagli

Università degli Studi del Sannio. Facoltà di Ingegneria

Università degli Studi del Sannio. Facoltà di Ingegneria - Impressionante crescita in complessità degli attuali IC digitali - Progesso tecnologico più veloce di capacità umana di progettazione - Necessità di strumenti CAD e di ben definite metodologie di progetto

Dettagli

Implementazione di circuiti

Implementazione di circuiti Implementazione di circuiti PLA: Programmable Logic Array matrici regolari AND e OR in successione personalizzabili dall utente ROM: Read Only Memory circuiti ad hoc che implementano una particolare funzione

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LA Cesena, 4 Febbraio 2005 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Circuiti Digitali. Appunti del Corso

Circuiti Digitali. Appunti del Corso Circuiti Digitali Appunti del Corso Indice CENNI SULLA FISICA DEI SEMICONDUTTORI 1 Semiconduttori intrinseci (puri)... 2 Semiconduttori estrinseci (impuri)... 4 Semiconduttori di tipo P... 4 Semiconduttori

Dettagli

Calcolatori Elettronici B a.a. 2004/2005

Calcolatori Elettronici B a.a. 2004/2005 Calcolatori Elettronici B a.a. 2004/2005 RETI LOGICHE: RICHIAMI Massimiliano Giacomin 1 Unità funzionali Unità funzionali: Elementi di tipo combinatorio: - valori di uscita dipendono solo da valori in

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LS Bologna, 21 Novembre 2003 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Tecniche Analogiche e tecniche Digitali

Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Da rappresentazione Analogica a Digitale Trattamento dell'informazione Esercizi riepilogativi 2 2005 Politecnico di Torino

Dettagli

CIRCUITI INTEGRATI DIGITALI

CIRCUITI INTEGRATI DIGITALI CIRCUITI INTEGRATI DIGITALI Gli elementi logici visti finora sono disponibili in circuiti integrati (IC). IC monolitici Circuito elettronico costruito interamente in un chip. Tutti i singoli componenti

Dettagli

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC.

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC. ETLC2 - A 4/5/25 Modulo Politecnico di Torino Facoltà dell Informazione Elettronica delle telecomunicazioni II Presentazione A Dispositivi logici programmabili» Circuiti standard e custom» Componenti programmabili»

Dettagli

Circuti AND, OR, NOT Porte logiche AND

Circuti AND, OR, NOT Porte logiche AND Circuti AND, OR, NOT Porte logiche AND OR NOT A B C Esempio E = ~((AB) + (~BC)) E NAND e NOR NAND (AND con uscita negata): ~(A B) NOR (OR con uscita negata): ~(A+B) Si può dimostrare che le operazioni

Dettagli

Elettronica per le telecomunicazioni 21/05/2004

Elettronica per le telecomunicazioni 21/05/2004 Contenuto dell unità D Elettronica per telecomunicazioni Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA)

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Stefano Mattoccia, DISI - University of Bologna 1 Architettura di una FPGA Anche se in questo corso si adotterà una metodologia

Dettagli

Scopo Capire la codifica binaria di un numero decimale ed il funzionamento dei seguenti componenti: Diodo led Integrato SN74LS00

Scopo Capire la codifica binaria di un numero decimale ed il funzionamento dei seguenti componenti: Diodo led Integrato SN74LS00 Visualizzatore LED a 4 bit Scopo Capire la codifica binaria di un numero decimale ed il funzionamento dei seguenti componenti: Diodo led Integrato SN74LS00 IL DIODO LED o Diodo Emettitore di Luce IL LED

Dettagli

Un quadro della situazione

Un quadro della situazione Reti logiche (1) Algebra booleana e circuiti combinatori 1 Un quadro della situazione In particolare gli argomenti qui trattati interessano ALU (Unità Aritmetico Logica) e CPU Elementi di memoria e progetto

Dettagli

HSA HSA HARDWARE SYSTEM ARCHITECTURE. Livelli. Livello assemblativo. Livello di. Sistema Operativo. Livello di. linguaggio macchina.

HSA HSA HARDWARE SYSTEM ARCHITECTURE. Livelli. Livello assemblativo. Livello di. Sistema Operativo. Livello di. linguaggio macchina. HS HRDWRE SYSTEM RHITETURE a.a. 22-3 L. orrelli 1 Livelli I 4: MOV L,TOTLE XOR X,X XOR X,X MOV L,STRING[X] IN X LOOP I 4 Livello assemblativo Livello di Sistema Operativo 11111 1111 11 111 111 111 Livello

Dettagli

Porte logiche in tecnologia CMOS

Porte logiche in tecnologia CMOS Porte logiche in tecnologia CMOS Transistore MOS = sovrapposizione di strati di materiale con proprietà elettriche diverse tra loro (conduttore, isolante, semiconduttore) organizzati in strutture particolari.

Dettagli

LSS : Reti Logiche: circuiti combinatori

LSS : Reti Logiche: circuiti combinatori LSS 2018-19: Reti Logiche: circuiti combinatori Piero Vicini AA 2018-2019 Introduzione Argomenti: Codici e aritmetica Operatori dell algebra booleana Minimizzazione e sintesi di funzioni Esempi di implementazione

Dettagli

Sistemi Elettronici Programmabili

Sistemi Elettronici Programmabili Sistemi Elettronici Programmabili Simple (Small) PLD: SPLD Ettore Napoli Ettore Napoli A.A. 00-0 Sigle e Caratteristiche Oltre all acronimo SPLD: PAL (Programmable Array Logic) GAL (Generic Array Logic)

Dettagli

LOGICHE PROGRAMMABILI: PREFAZIONE

LOGICHE PROGRAMMABILI: PREFAZIONE LOGICHE PROGRAMMABILI: PREFAZIONE 1. INTRODUZIONE Diverse aree dell industria elettronica stanno ponendo sempre più interesse alle logiche programmabili. Le logiche programmabili ad alta densità offrono

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Porte logiche. Porte logiche. Corso di Architettura degli Elaboratori. Algebra Booleana

Porte logiche. Porte logiche. Corso di Architettura degli Elaboratori. Algebra Booleana Corso di Architettura degli Elaboratori Il livello logico digitale: Algebra Booleana e Circuiti logici digitali di base Matteo Baldoni Dipartimento di Informatica Università degli Studi di Torino C.so

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Circuiti combinatori e sequenziali.

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Circuiti combinatori e sequenziali. Corso di Architettura degli Elaboratori Il livello logico digitale: Memoria Clock: un circuito che emette una serie di impulsi con una specifica larghezza e intermittenza Tempo di ciclo di clock: intervallo

Dettagli

Costruire memorie ancora più grandi

Costruire memorie ancora più grandi Costruire memorie ancora più grandi Assemblando blocchi di memoria potremmo ottenere memorie ancora più grandi, e così via. Vogliamo ottenere memorie di GBytes! Questo «scala»? Problemi: Numero enorme

Dettagli

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..) F3x - Presentazione della lezione F3 1/1- Obiettivi Analisi del trend tecnologico Panoramica delle principali famiglie logiche cablate Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Dettagli

Misure e Sistemi Microelettronici (MSM) Sistemi

Misure e Sistemi Microelettronici (MSM) Sistemi Misure e Sistemi Microelettronici (MSM) Sistemi Prof. Stefano Bertazzoni I semestre II emisemestre dal 24-11-08 al 29-01-09 Lunedì ore 13.30 15.45 Giovedì ore 14.00 16.15 Aula 4 NE Aula 2 NE Ricevimento

Dettagli

Field programmable Gate array

Field programmable Gate array Field programmable Gate array Overview dei dispositivi elettronici programmabili FPGA della famiglia Spartan-3 ing. Mario Barbareschi prof. Antonino Mazzeo PLD: Programmable Logic Device Un dispositivo

Dettagli

Il Livello Logico-Digitale. Reti combinatorie -2015

Il Livello Logico-Digitale. Reti combinatorie -2015 Il Livello Logico-Digitale Reti combinatorie 18-10 -2015 Sommario Il segnale binario Algebra di Boole e funzioni logiche Porte logiche Analisi e sintesi di reti combinatorie: cenni - 2 - 1- Segnali e informazioni

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015 Appello d esame del 17/6/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti)

Dettagli

CIRCUITI DIGITALI. La grandezza fisica utilizzata nella maggior parte dei circuiti digitali è la differenza di potenziale (tensione).

CIRCUITI DIGITALI. La grandezza fisica utilizzata nella maggior parte dei circuiti digitali è la differenza di potenziale (tensione). CIRCUITI DIGITALI Un circuito elettronico viene classificato come circuito digitale quando è possibile definire il suo comportamento per mezzo di due soli stati fisici di una sua grandezza caratteristica.

Dettagli

Sistemi di Elettronica Digitale, Sez.6

Sistemi di Elettronica Digitale, Sez.6 Sistemi di Elettronica Digitale, Sez.6 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. Ingegneria dell Informazione 030-3715627 Lunedì 16:30-18:30 Sistemi di elettronica digitale, A. Flammini,

Dettagli

Parte III. Logica Digitale e Memorie

Parte III. Logica Digitale e Memorie Parte III Logica Digitale e Memorie III.1 Circuiti digitali INGRESSI i 1 i n CIRCUITO DIGITALE o 1 o m USCITE Circuiti elettronici i cui ingressi e le cui uscite assumono solo due livelli Al circuito sono

Dettagli

Fondamenti di Informatica. P r o f. R a f fa e l e P i z zo l a n t e A. A / 1 7

Fondamenti di Informatica. P r o f. R a f fa e l e P i z zo l a n t e A. A / 1 7 Fondamenti di Informatica P r o f. R a f fa e l e P i z zo l a n t e A. A. 2 0 1 6 / 1 7 Circuito Logico Il cuore di un sistema digitale è il circuito logico digitale Progettato a partire da porte logiche

Dettagli

Capitolo 5 Reti combinatorie

Capitolo 5 Reti combinatorie Capitolo 5 Reti combinatorie 5.1 - Il problema della sintesi 5.2 - Reti di costo minimo 5.3 - Il metodo delle mappe 5.4 - Reti a Nand ed a Nor 5.5 - Reti programmabili 5.1 Il problema della sintesi Funzione

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo: Sezione C.3;

Dettagli

Il Sottosistema di Memoria

Il Sottosistema di Memoria Il Sottosistema di Memoria Classificazione delle memorie Funzionalità Memoria di sola lettura (ROM) Memoria di lettura/scrittura Tecnologia Memoria a semiconduttori Memoria magnetica Memoria ottica Modalità

Dettagli

Corso di Architettura degli Elaboratori. Porte logiche (I) Architetture degli Elaboratori. Porte logiche (III) Porte logiche (II)

Corso di Architettura degli Elaboratori. Porte logiche (I) Architetture degli Elaboratori. Porte logiche (III) Porte logiche (II) Corso di Architettura degli Elaboratori Il livello logico digitale: Algebra Booleana e Circuiti logici digitali di base Porte logiche (I) Invertitore a transistor: quando V in è basso, V out è alto e viceversa

Dettagli

collana di istruzione scientifica serie di elettronica

collana di istruzione scientifica serie di elettronica collana di istruzione scientifica serie di elettronica Dal catalogo McGraw-Hill: Alexander, Sadiku, Circuiti elettrici, 2 a ed. Conciauro, Fondamenti di onde elettromagnetiche Cutolo, Optoelettronica,

Dettagli

I circuiti binari: definizione delle funzioni logiche

I circuiti binari: definizione delle funzioni logiche I circuiti binari: definizione delle funzioni logiche Prof. lberto orghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano /38 Sommario Variabili ed operatori

Dettagli

Componenti per l aritmetica binaria

Componenti per l aritmetica binaria Componenti per l aritmetica binaria M. Favalli Engineering Department in Ferrara (ENDIF) Reti logiche 1 / 29 Sommario 1 Introduzione 2 Sommatori binari 3 Applicazioni di n-bit adder 4 Sommatore CLA (ENDIF)

Dettagli

SisElnF1 12/21/01. F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori

SisElnF1 12/21/01. F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti sequenziali

Dettagli