Gate Arrays. Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Gate Arrays. Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1"

Transcript

1 Gate Arrays Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1

2 Circuti integrati Un insieme di porte logiche costruite su di un singolo chip al silicio Famiglie di ICs - Small-Scale Integration ( SSI ) : Contengono 1-20 gates ( Esempio :7400- series SSI IC s ) - Medium-Scale Integration ( MSI ) : Contengono gates ( Esempio : contatori, decoders, multiplexers ) - Large-Scale Integration ( LSI ) : Contengono 200 to gates ( Esempio : Microprocessori, memorie, PLDs ) - Very Large Scale Integration ( VLSI ) Contengono più di 500,000 transistors ( Esempio : Microprocessore Pentium (1 a generazione) ASICs : ICs progettati per applicazioni particolari (schede grafiche). 10/09/09 Cibernetico elettronico 2

3 Famiglie logiche: CMOS : Complementary Metal-Oxide Semiconductor. CMOS Families Series 4000 ( Low Power ) 74C ( Pin- and function-compatible with TTL ) 74HC ( High Speed ) 74HCT ( TTL compatible ) 74AC ( Advanced CMOS ) 74ACT ( TTL compatible ) 74FCT ( Fast CMOS TTL compatable) 74FCT-T( FCT with TTL VOH ) 10/09/15 Cibernetico elettronico 3

4 Immunità da rumore, perchè digitale?: For VDD=5V VOLmax= 0.1 V VILmax = 1.5 V VIHmin = 3.5 V VOHmin= 4.9 V DC Noise Margin VDD (HIGH) 0.7VDD Undefined 0.3VDD (LOW) 0 V High State Noise margin: 1.4 Volt = (VOHmin-VIHmin) Low State Noise margin : 1.4 Volt = (VILmax VOLmax) VOHmin VIHmin VILmax VOLmax 10/09/15 Cibernetico elettronico 4

5 Specifiche: Famiglia HC HCT AC ACT Inp. Leak. Curr. (ua) InputCap. (pf) VIL max (V) VIH min (V) VOL max (V) VOH min (V) /09/15 Cibernetico elettronico 5

6 Ritardo di propagazione : 1- Transistor switching time 2- Input signal Transition time Tempi di transizione (revisited): E il tempo necessario affinchè l uscita cambi stato : Rise time ( t r ) : LOW-to-HIGH transition Fall time ( t f ) : HIGH-to-LOW transition Il tempo di transizione dipende da : La resistenza ON dei transistor usati. Capacità del carico (Anche dalle capacità parassite) Circuito di output Capacità delle piste sul circuito stampato o dei fili di collegamento Capacità d input del carico 10/09/15 Cibernetico elettronico 6

7 Dissipazione di potenza Static Power Dissipation: ( Static ) = VDD 2 /( R n +R p )...Valore estremamente piccolo (CMOS) Dynamic Power Dissipation: La corrente che scorre durante le transizioni quando i due transistor sono parzialmente ON. P T = CPD*VDD 2 * f CPD : Power Dissipation Constant, f : transizioni per secondo/2 Carica e scarica di C L P L = C L * VDD 2 * f Totale: P ( Dynamic ) = (CPD+C L ). VDD 2. f 10/09/15 Cibernetico elettronico 7

8 Prestazioni delle varie famiglie: Famiglia HC HCT AC ACT Propagation Delay(ns) Static Power Dissipation(mW) Dynamic(mW/MHZ) Total Total MHZ /09/15 Cibernetico elettronico 8

9 Come eravamo: Medium scale integration: TTL 74xx, ECL 10000, CMOS 4000 Bassa complessità: Porte base AND, OR. contatori ecc. ecc. 10/09/15 Cibernetico elettronico 9

10 Evoluzione (1) La produzione di dispositivi LSI o VLSI richiede più cicli di disegno, fabbricazione, collaudo: tempi lunghi costi elevati uso di fonderie Giustificato solo per un numero molto elevato di pezzi. 10/09/15 Cibernetico elettronico 10

11 Evoluzione (2) I gate array programmabili, le PLD ecc. offrono una soluzione intermedia: densità di gate elevata (non elevatissima) prestazioni leggermente inferiori IN HOUSE design and debug tempi molto rapidi costi molto ridotti 10/09/15 Cibernetico elettronico 11

12 Dispositivi programmabili PAL (Programmable Array Logic) o PLD Simple programmable And/Or array Può includere input/output flip-flops CPLD (Complex Programmable Logic Device) Array of multiple PAL-like blocks Programmable interconnects between blocks FPGA (Field Programmable Gate Array) Array of simple logic cells Interconnected via wires within routing channels 10/09/15 Cibernetico elettronico 12

13 Le connessioni? Le connessioni sono fatte con dei MOS usati come interruttori controllati da memory bits di qualche tipo: EPROM - charged floating gate, UV erasable EEPROM - charged floating gate, electrically erasable Flash Memory - charged floating gate, electrically erasable SRAM - Volatile memory Antifuse - permanent connections made electrically 10/09/15 Cibernetico elettronico 13

14 Guardiamo dentro ad una CPLD (1) 10/09/15 Cibernetico elettronico 14

15 Guardiamo dentro ad una CPLD (2) 10/09/15 Cibernetico elettronico 15

16 Guardiamo dentro ad una CPLD (3) One macrocell is equivalent to one flip-flop. Macrocells are arranged in local arrays. Local arrays are connected to fast interconnects for rows and columns. Input Output Cells connect the device to the outside world. 10/09/15 Cibernetico elettronico 16

17 Il vostro software ALTERA ma vale anche per QUARTUS II 10/09/15 17

18 ALTERA SOFTWARE Design Entry Compiler Waveform Editor Simulation Programmer Graphical : 10/09/15 Cibernetico elettronico 18

19 Compiler Test Vector Simulator Programmer These are the essential tools so that you can start programming your own devices. 10/09/15 Cibernetico elettronico 19

20 Apart from the usual TTL components, your needs can be better serviced by the use of LPM or Mega Functions. Scalable and optimized. 10/09/15 Cibernetico elettronico 20

21 10/09/15 Cibernetico elettronico 21

Tecniche Analogiche e tecniche Digitali

Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Da rappresentazione Analogica a Digitale Trattamento dell'informazione Esercizi riepilogativi 2 2005 Politecnico di Torino

Dettagli

Chapter 6 Selected Design Topics

Chapter 6 Selected Design Topics Logic and Computer Design Fundamentals Chapter 6 Selected Design Topics Part 4 Programmable Implementation Technologies Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

Dettagli

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..) F3x - Presentazione della lezione F3 1/1- Obiettivi Analisi del trend tecnologico Panoramica delle principali famiglie logiche cablate Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Dettagli

Page 1. SisElnF5 1/21/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni F

Page 1. SisElnF5 1/21/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni F Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche» Trend tecnologico dell elettronica digitale» Famiglie logiche cablate:

Dettagli

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche» Trend tecnologico dell elettronica digitale» Famiglie logiche cablate:

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche» Trend tecnologico dell elettronica digitale» Famiglie logiche cablate:

Dettagli

Sistemi logici complessi

Sistemi logici complessi Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

Sistemi di Elettronica Digitale, Sez.3

Sistemi di Elettronica Digitale, Sez.3 Sistemi di Elettronica Digitale, Sez.3 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. Ingegneria dell Informazione 030-3715627 Lunedì 16:30-18:30 Sistemi di elettronica digitale, A. Flammini,

Dettagli

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali.

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali. INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1 1.2) Interruttori ideali e reali. 1 1.3) Condizioni operative statiche del transistore a giunzione. 5

Dettagli

ITS Einaudi Appunti T.D.P. ITS Einaudi ITS EINAUDI. Elettronica e Telecomunicazioni. Tecnologia e Disegno per la Progettazione Elettronica

ITS Einaudi Appunti T.D.P. ITS Einaudi ITS EINAUDI. Elettronica e Telecomunicazioni. Tecnologia e Disegno per la Progettazione Elettronica ITS EINAUDI Elettronica e Telecomunicazioni Tecnologia e Disegno per la Progettazione Elettronica Porte Logiche PORTE LOGICHE - i parametri dei fogli tecnici Valori Massimi Assoluti Vcc max, Vin max, T

Dettagli

Computer Aided Design. Matteo Montani

Computer Aided Design. Matteo Montani Computer Aided Design Matteo Montani Sommario Gestione di progetti logici complessi Metodologie di progetto (sintesi - verifica) Strategie di implementazione di circuiti digitali - Full custom (layout)

Dettagli

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

Outline del programma. 15/10/2018 Elettronica Generale I_a, Raffaello D'Alessandro 1

Outline del programma. 15/10/2018 Elettronica Generale I_a, Raffaello D'Alessandro 1 Outline del programma 15/10/2018 Elettronica Generale I_a, Raffaello D'Alessandro 1 Logica combinatoriale: Porte elementari Algebra booleana Encoders, decoders XOR, Circuti di parità, comparatori Sommatore

Dettagli

ASIC CARATTERISTICHE GENERALI INTRODUZIONE

ASIC CARATTERISTICHE GENERALI INTRODUZIONE ASIC CARATTERISTICHE GENERALI INTRODUZIONE La complessità di un circuito di elettronica digitale rende spesso difficoltosa la realizzazione del circuito stampato. Inevitabilmente ciò comporta l aumento

Dettagli

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr.

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr. Laboratorio II, modulo 2 2016-2017 Elettronica digitale (2 a parte) (cfr. http://physics.ucsd.edu/~tmurphy/phys121/phys121.html) Esempio (reale) di comparatore + V V in + R V out V ref - V out V ref V

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

SisElnF4 10/01/ /01/ SisElnF DDC/GP/MGG. 10/01/ SisElnF DDC/GP/MGG. Kilby 1958 Texas Instrument (1 Flip-Flop)

SisElnF4 10/01/ /01/ SisElnF DDC/GP/MGG. 10/01/ SisElnF DDC/GP/MGG. Kilby 1958 Texas Instrument (1 Flip-Flop) Ingegneria dell Informazione Obiettivi del gruppo di lezioni F Modulo SISTEMI ELETTRONICI F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI F4 Tecnologie e flussi di progetto» Evoluzione delle tecnologie»

Dettagli

Exam of DIGITAL ELECTRONICS June 21 st, 2012 Prof. Marco Sampietro

Exam of DIGITAL ELECTRONICS June 21 st, 2012 Prof. Marco Sampietro Exam of DIGITAL ELECTRONICS June 21 st, 2012 Prof. Marco Sampietro Student I.D. number NAME Si consideri il circuito digitale combinatorio della figura seguente. La tensione d alimentazione è 5V e la soglia

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

Circuiti integrati semi-custom

Circuiti integrati semi-custom Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Introduzione Qualsiasi sistema digitale

Dettagli

Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità

Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità di trasferimento dati. Esempio: Si supponga che ai

Dettagli

Page 1. SisElnE1 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Interfacciamento elettrico dei dispositivi. Obiettivi del gruppo di lezioni D

Page 1. SisElnE1 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Interfacciamento elettrico dei dispositivi. Obiettivi del gruppo di lezioni D gegneria dell formazione Obiettivi del gruppo di lezioni D Modulo SISTEMI ELETTRONICI D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici» caratteristiche base di

Dettagli

SisElnE1 13/12/2002. D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici

SisElnE1 13/12/2002. D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici» caratteristiche base di un dispositivo digitale» compatibilità

Dettagli

APPUNTI PORTE LOGICHE - CLASE 3BET

APPUNTI PORTE LOGICHE - CLASE 3BET APPUNTI PORTE LOGICHE - CLASE 3BET Parametri CARATTERISTICHE STATICHE DELLE PORTE LOGICHE NOMENCLATURA: 1) Livelli logici alti Dove Ioh è detta corrente di Source 2) Livelli logici bassi Dove Iol è detta

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Circuiti combinatori e sequenziali.

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Circuiti combinatori e sequenziali. Corso di Architettura degli Elaboratori Il livello logico digitale: Memoria Clock: un circuito che emette una serie di impulsi con una specifica larghezza e intermittenza Tempo di ciclo di clock: intervallo

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili.

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili. Di cosa parliamo in questa lezione? Lezione E5 Logiche In questa lezione si descrivono i dispositivi logici Logiche Sistemi embedded e real-time 2 Struttura degli 24 gennaio 23 3 Dipartimento di Ingegneria

Dettagli

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili.

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. GLOSSARIO A ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. AHDL Altera Hardware Description Language. Linguaggio di descrizione dell hardware sviluppato

Dettagli

Architettura dei Field- Programmable Gate Array

Architettura dei Field- Programmable Gate Array Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Field-Programmable Gate Arrays A.a. 2004-2005 Architettura dei Field- Programmable Gate Array Un FPGA è un circuito integrato

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LS Bologna, 21 Novembre 2003 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Sistemi Elettronici Programmabili

Sistemi Elettronici Programmabili Sistemi Elettronici Programmabili Simple (Small) PLD: SPLD Ettore Napoli Ettore Napoli A.A. 00-0 Sigle e Caratteristiche Oltre all acronimo SPLD: PAL (Programmable Array Logic) GAL (Generic Array Logic)

Dettagli

Circuiti Digitali. Appunti del Corso

Circuiti Digitali. Appunti del Corso Circuiti Digitali Appunti del Corso Indice CENNI SULLA FISICA DEI SEMICONDUTTORI 1 Semiconduttori intrinseci (puri)... 2 Semiconduttori estrinseci (impuri)... 4 Semiconduttori di tipo P... 4 Semiconduttori

Dettagli

Lettura analogica di una tensione.

Lettura analogica di una tensione. nalogico e digitale Lettura analogica di una tensione. L'informazione analogica (tensione) viene riportata sul quadrante sotto forma di un angolo proporzionale al valore della tensione Lettura digitale

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

SISTEMI SISTEMI. D1y - Presentazione del gruppo di lezioni D. impostazione. progettazione. D1y - Presentazione del gruppo di lezioni D.

SISTEMI SISTEMI. D1y - Presentazione del gruppo di lezioni D. impostazione. progettazione. D1y - Presentazione del gruppo di lezioni D. D1y - Presentazione del gruppo di lezioni D 1/5 - Dove siamo? A SISTEMI impostazione B componenti analogici C D E componenti digitali F SISTEMI D1y - Presentazione del gruppo di lezioni D 2/5 - Dove sono

Dettagli

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Latch di tipo SR sincronizzato. Latch di tipo SR

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Latch di tipo SR sincronizzato. Latch di tipo SR Corso di Architettura degli Elaboratori Il livello logico digitale: Memoria Dipartimento di Informatica Università degli Studi di Torino C.so Svizzera, 185 I-10149 Torino baldoni@di.unito.it http://www.di.unito.it/

Dettagli

Circuiti Integrati Architettura degli Elaboratori 1 A.A

Circuiti Integrati Architettura degli Elaboratori 1 A.A 1 Circuiti Integrati Architettura degli Elaboratori 1 A.A. 2002-03 03 Roberto Bisiani, 2000, 2001, 2002, Fabio Marchese 2003 25 marzo 2003 2 Circuiti integrati Costruzione di circuiti (logici e non) su

Dettagli

Page 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D

Page 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D - Versione IVREA - AA 2003-04 D2 - Interfacciamento elettrico e famiglie logiche - stadi di uscita - famiglie logiche 7-Jan-04 - Obiettivi del

Dettagli

Exam of DIGITAL ELECTRONICS July 8 th, 2010 Prof. Marco Sampietro

Exam of DIGITAL ELECTRONICS July 8 th, 2010 Prof. Marco Sampietro N Exam of DIGITAL ELECTRONICS July 8 th, 2010 Prof. Marco Sampietro Matr. N NAME Digital memory design Si consideri una memoria FLASH come quella schematizzata nella figura seguente. Essa è realizzata

Dettagli

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali Moduli logici Moduli logici Interfacciamento di dispositivi logici Circuiti logici combinatori Circuiti logici sequenziali Registri, contatori e circuiti sequenziali Esempi e misure su circuiti digitali

Dettagli

CIRCUITI INTEGRATI DIGITALI

CIRCUITI INTEGRATI DIGITALI CIRCUITI INTEGRATI DIGITALI I circuiti logici sono stati i primi a subire il processo di integrazione su un unico chip (integrati monolitici) e sono attualmente i circuiti su cui la miniaturizzazione ha

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Clock. Corso di Architettura degli Elaboratori. Latch di tipo SR. Circuiti combinatori e sequenziali. Il livello logico digitale: Memoria

Clock. Corso di Architettura degli Elaboratori. Latch di tipo SR. Circuiti combinatori e sequenziali. Il livello logico digitale: Memoria Corso di Architettura degli Elaboratori Il livello logico digitale: Memoria Matteo Baldoni Dipartimento di Informatica Università degli Studi di Torino C.so Svizzera, 85 I-49 Torino baldoni@di.unito.it

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LA Cesena, 4 Febbraio 2005 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Design Methodologies. December 10, 2002

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Design Methodologies. December 10, 2002 Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 Digital Circuit Implementation Approaches Custom Semicustom Cell-based Array-based Standard Cells Compiled Cells

Dettagli

Capitolo 2 Tecnologie dei circuiti integrati 33

Capitolo 2 Tecnologie dei circuiti integrati 33 Indice Prefazione XIII Capitolo 1 Circuiti digitali 1 1.1 Introduzione 1 1.2 Discretizzazione dei segnali 4 1.3 L invertitore ideale 6 1.4 Porte logiche elementari 6 1.4.1 Porte elementari come combinazioni

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

Elettronica dei Sistemi Digitali Dispositivi logici programmabili

Elettronica dei Sistemi Digitali Dispositivi logici programmabili Elettronica dei Sistemi Digitali Dispositivi logici programmabili Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 6013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Page 1. SisElnE2 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D

Page 1. SisElnE2 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D2 Interfacciamento elettrico e compatibilità» stadi di uscita» compatibilità tra dispositivi logici 3/2/2002

Dettagli

COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 ALLIEVI INFORMATICI J-Z

COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 ALLIEVI INFORMATICI J-Z COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 sufficiente al superamento della prova e non rende possibile l accesso alla prova orale. Quesito n.1: Confrontare, a parità di dispositivo di carico e di

Dettagli

Giancarlo Rini (rini) FPGA. 29 September 2011

Giancarlo Rini (rini) FPGA. 29 September 2011 Giancarlo Rini (rini) FPGA 29 September 2011 Con questa articolo si vuole dare una breve descrizione dell'architettura interna di una FPGA. Cercare di capire quali sono i pregi e i difetti di questo strumento

Dettagli

Memorie a semiconduttore

Memorie a semiconduttore Memoria centrale a semiconduttore (Cap. 5 Stallings) Architettura degli elaboratori -1 Pagina 209 Memorie a semiconduttore RAM Accesso casuale Read/Write Volatile Memorizzazione temporanea Statica o dinamica

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

CIRCUITI INTEGRATI DIGITALI

CIRCUITI INTEGRATI DIGITALI CIRCUITI INTEGRATI DIGITALI Gli elementi logici visti finora sono disponibili in circuiti integrati (IC). IC monolitici Circuito elettronico costruito interamente in un chip. Tutti i singoli componenti

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche Elettronica II - Dante Del Corso - Gruppo B - 7 n. 1-01/11/97

Dettagli

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione Indice Introduzione I VII Capitolo 1 Circuiti integrati digitali 1.0 Introduzione 1 1.1 Processo di integrazione CMOS 2 1.2 Caratteristiche elettriche dei materiali 11 1.2.1 Resistenza 11 1.2.1.1 Contatti

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Cenni sulle famiglie logiche TTL e CMOS

Cenni sulle famiglie logiche TTL e CMOS Cenni sulle famiglie logiche TTL e CMOS Generalità I dispositivi digitali vengono suddivisi in famiglie logiche ciascuna delle quali differisce dalle altre per la tecnologia utilizzata e per il circuito

Dettagli

Informatica di Base - 6 c.f.u.

Informatica di Base - 6 c.f.u. Università degli Studi di Palermo Dipartimento di Ingegneria Informatica Informatica di Base - 6 c.f.u. Anno Accademico 2007/2008 Docente: ing. Salvatore Sorce Logica booleana e circuiti logici Perchè

Dettagli

Metodologie di progetto HW Livelli d astrazione

Metodologie di progetto HW Livelli d astrazione Metodologie di progetto HW Livelli d astrazione Diagramma Y Flusso di sintesi Livelli di astrazione Versione del 15/03/06 Domini di rappresentazione dei circuiti: funzionale strutturale e fisico Tecnologia

Dettagli

Circuiti digitali Architettura 2002

Circuiti digitali Architettura 2002 Circuiti digitali Architettura 2002 Roberto Bisiani, 2000,2001, 2002 3/2002 1 Argomenti trattati in...! Queste trasparenze! Patterson & Hennessy! Appendice B senza sezione su PLA! Capitolo 1 3/2002 2 Argomenti

Dettagli

Lettura analogica di una tensione.

Lettura analogica di una tensione. nalogico e digitale Lettura analogica di una tensione. L'informazione analogica (tensione) viene riportata sul quadrante sotto forma di un angolo proporzionale al valore della tensione Lettura digitale

Dettagli

Porte Logiche. Modulo 3

Porte Logiche. Modulo 3 Porte Logiche Modulo 3 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Porte logiche Una porta logica (gate) è un circuito

Dettagli

Exam of DIGITAL ELECTRONICS June 21 st, 2010 Prof. Marco Sampietro

Exam of DIGITAL ELECTRONICS June 21 st, 2010 Prof. Marco Sampietro Exam of DIGITAL ELECTRONICS June 21 st, 2010 Prof. Marco Sampietro Matr. N NAME Digital CMOS circuit Si consideri il seguente circuito digitale realizzato con una tecnologia CMOS avente le seguenti caratteristiche:

Dettagli

. Nota: le tensioni dono riferite all'ingresso ed all'uscita dello stesso circuito. G. Martines 1

. Nota: le tensioni dono riferite all'ingresso ed all'uscita dello stesso circuito. G. Martines 1 Invertitore logico (NOT) La caratteristica di trasferimento in tensione (VTC) Per un ingresso logico 0, cioè v I V IL l'uscita logica è 1, cioè v O V OH ; per ingresso 1 cioè v I V IH uscita 0, cioè v

Dettagli

3.5 Sintesi con decoder e OR

3.5 Sintesi con decoder e OR 3.5 Sintesi con decoder e OR Il DEC n:2 n Rete di trascodifica da codice binario a codice «1 su N» Gli n ingressi vengono spesso indicati come indirizzi (A, address), con A 0 indirizzo di minor peso L

Dettagli

CIRCUITI INTEGRATI LOGICI

CIRCUITI INTEGRATI LOGICI CIRCUITI INTEGRATI LOGICI I circuiti logici sono stati i primi intorno agli anni 60 l integrazione in un unico chip riducendone le dimensioni grazie alle tecnologie di montaggio SMC (superficiale). Esistono

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 9 - B - 5:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 9 - B - 5: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 9 - B - 5: Comportamento dinamico dei circuiti logici Elettronica II - Dante Del Corso - Gruppo B - 7

Dettagli

Le memorie. Circuiti di memoria a stato solido (Millmann , data sheet)

Le memorie. Circuiti di memoria a stato solido (Millmann , data sheet) Le memorie Circuiti di memoria a stato solido (Millmann 16.1-8, data sheet) Tipi di memorie Classificazione Memorie SRAM Interfaccia elettrica e logica Indirizzamento bidimensionale Realizzazione di moduli

Dettagli

3 B aut TPSEE 4 TEST FILA 1 3 apr Q1 BC Volts. VALUTAZIONE di COGNOME :. Nome :

3 B aut TPSEE 4 TEST FILA 1 3 apr Q1 BC Volts. VALUTAZIONE di COGNOME :. Nome : 3 B aut TPSEE 4 TEST FILA 1 3 apr 2013 1. Dato il seguente circuito e i valori di tensioni e correnti, determinare : a) La regione di funzionamento b) h FE, I E, V CB c) R B, R C d) cosa bisogna fare per

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Misure e Sistemi Microelettronici (MSM) Sistemi

Misure e Sistemi Microelettronici (MSM) Sistemi Misure e Sistemi Microelettronici (MSM) Sistemi Prof. Stefano Bertazzoni I semestre II emisemestre dal 24-11-08 al 29-01-09 Lunedì ore 13.30 15.45 Giovedì ore 14.00 16.15 Aula 4 NE Aula 2 NE Ricevimento

Dettagli

Scopo Capire la codifica binaria di un numero decimale ed il funzionamento dei seguenti componenti: Diodo led Integrato SN74LS00

Scopo Capire la codifica binaria di un numero decimale ed il funzionamento dei seguenti componenti: Diodo led Integrato SN74LS00 Visualizzatore LED a 4 bit Scopo Capire la codifica binaria di un numero decimale ed il funzionamento dei seguenti componenti: Diodo led Integrato SN74LS00 IL DIODO LED o Diodo Emettitore di Luce IL LED

Dettagli

Famiglie logiche. - Prof. G. Acciari - Integrated Circuit

Famiglie logiche. - Prof. G. Acciari - Integrated Circuit Famiglie logiche - Prof. G. Acciari - 1 Integrated Circuit DEF.: un Circuito Integrato (IC, chip) è un circuito creato utilizzando un unico procedimento fisicochimico con lo scopo di realizzare una elevata

Dettagli

Moduli combinatori Barbara Masucci

Moduli combinatori Barbara Masucci Architettura degli Elaboratori Moduli combinatori Barbara Masucci Punto della situazione Ø Abbiamo studiato le reti logiche e la loro minimizzazione Ø Obiettivo di oggi: studio dei moduli combinatori di

Dettagli

D2x - Presentazione della lezione D2. D2a STADI DI USCITA

D2x - Presentazione della lezione D2. D2a STADI DI USCITA D2x - Presentazione della lezione D2 /- Obiettivi! conoscere diverse forme di stadi di uscita di dispositivi logici! saper calcolare resistori di pull-up per open collector! saper eseguire calcoli di fanout!

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 14/7/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 14/7/2015 Appello d esame del 14/7/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti)

Dettagli

Elettronica dei Sistemi Digitali Le porte logiche CMOS

Elettronica dei Sistemi Digitali Le porte logiche CMOS Elettronica dei Sistemi Digitali Le porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Calcolatori Elettronici Parte IV: Logica Digitale e Memorie

Calcolatori Elettronici Parte IV: Logica Digitale e Memorie Anno Accademico 2001/2002 Circuiti Digitali Calcolatori Elettronici Parte IV: Logica Digitale e Memorie Prof. Riccardo Torlone Università di Roma Tre INGRESSI i 1 CIRCUITO o 1 i n DIGITALE! Circuiti elettronici

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Circuiti combinatori slide a cura di Salvatore Orlando, Andrea Torsello, Marta Simeoni 1 Circuiti integrati I circuiti logici sono realizzati come IC (circuiti integrati)! realizzati su chip di silicio

Dettagli

FAMIGLIA NMOS E CMOS FUNZIONAMENTO DELLA FAM. NMOS

FAMIGLIA NMOS E CMOS FUNZIONAMENTO DELLA FAM. NMOS FAMIGLIA NMOS E CMOS FUNZIONAMENTO DELLA FAM. NMOS Una delle famiglie più utilizzate insieme alla TTL è la MOS che si suddivide in due tecnologie fondamentali la NMOS e la CMOS, quest'ultima in diretta

Dettagli

Appendice D - Appendix D DRIVER - DRIVERS

Appendice D - Appendix D DRIVER - DRIVERS Appendice D - Appendix D DRIVER - DRIVERS Driver per led a 1 watt - Drivers for leds at 1 watt 84325 1 1 190...265V AC 12V DC - 350mA 84326 2 3 190...265V AC 12V DC - 350mA 84327 1 6 190...265V AC 24V

Dettagli

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Elettronica Digitale. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Paragrafi del Millman Cap. 6 6.- 6.4 M. De Vincenzi AA 9- Sistema

Dettagli

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA)

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Stefano Mattoccia, DISI - University of Bologna 1 Architettura di una FPGA Anche se in questo corso si adotterà una metodologia

Dettagli

Giovanni De Luca. Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata. Gli acronimi. www.altera.

Giovanni De Luca. Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata. Gli acronimi. www.altera. www.delucagiovanni.com Corsi, Forum, Docs robotics and programming Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata Istituto Nazionale di Fisica Nucleare -

Dettagli

Low Power Design Alberto Scandurra

Low Power Design Alberto Scandurra Low Power Design Alberto Scandurra Physical Layer & Back-End group, On Chip Communication Systems STMicroelectronics Catania, Italy Agenda Il problema del consumo di potenza nei SoC Origine del consumo

Dettagli

ASIC e Tecnologie Microelettroniche

ASIC e Tecnologie Microelettroniche ASIC e Tecnologie Microelettroniche Ing. Fabrizio Innocenti CESVIT Microelettronica s.r.l. CESVIT Microelettronica 1 1 Introduzione L evoluzione tecnologica Fabbricazione e tecnologia IC CMOS Famiglie

Dettagli

Productivity Trends. Livelli di astrazione di un sistema digitale. Complexity outpaces design productivity. q=a*b+c. a.a.

Productivity Trends. Livelli di astrazione di un sistema digitale. Complexity outpaces design productivity. q=a*b+c. a.a. Productivity Trends 1 Logic Transistor per Chip (M) Complexity 10,000 1,000 100 10 1 0.1 0.01 Logic Tr./Chip Tr./Staff Month. x x x x x x x x 58%/Yr. compounded Complexity growth rate 21%/Yr. compound

Dettagli

Semiconductor Memories. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito

Semiconductor Memories. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito Semiconductor Memories Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Paolo Spirito Read-only memory cells Inserzione di opportuni elementi nei nodi della matrice nei quali si vuole codificare una

Dettagli

Le memorie non volatili A.Carini Elettronica digitale

Le memorie non volatili A.Carini Elettronica digitale Le memorie non volatili A.Carini Elettronica digitale Memorie Circuiti che possono contenere un numero elevato di informazioni binarie in maniera organizzata e possono fornirle in uscita mediante una operazione

Dettagli

IM-IU v0.1. alternata e continua. pag. 1 / 5

IM-IU v0.1. alternata e continua. pag. 1 / 5 MANUALE OPERATIVO IM-IU v0.1 INSTRUCTION MANUAL SERIE TTC-V-485 Trasformatore di corrente alternata e continua PROTOCOLLO DI COMUNICAZIONE MODBUS TTC-V-485 SERIES AC/DC current transformer MODBUS COMMUNICATION

Dettagli