Lezione M1 - DDM

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Lezione M1 - DDM"

Transcript

1 Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione Comportamentale Sintesi o Progetto a livello Strutturale ( Porta/Componente/Dispositivo ) Simulazione Livello Porte Logiche Mappatura sull'hardware Simulazione a Livello Fisico Realizzazione e Test 2 Sistemi Digitali Sistemi Embedded I sistemi digitali attuali hanno complessità tale da renderne impossibile la realizzazione a partire da circuiti integrati standard per problemi di ingombro, consumo, velocità. Esistono circuiti che realizzano funzioni complesse (es. Microcontrollore, Periferica), ma se la funzione richiesta non è disponibile? Due possibilità: Circuiti Custom: progettati per risolvere un problema specifico (ASIC); Logiche programmabili: circuiti generici configurabili dall utente (FPGA). Sistemi di elaborazione embedded Dove si trovano? Difficile da definire Qualunque apparato elettro- meccanico contiene al suo interno un sistema di elaborazione embedded Automobili, treni, aerei Macchine fotografiche, videocamere, televisori Elettrodomestici, elettromedicali Etc Miliardi di esemplari prodotti ogni anno Esempio: in un auto si possono mediamente trovare 50 unità (centraline) 3 4 Sistemi Embedded Tecnologie utilizzabili Caratteristiche comuni dei sistemi embedded Basati su singole (o poche) funzioni eseguite ripetitivamente Condizioni stringenti : Bassa potenza Basso costo Piccole dimensioni Elevata frequenza di clock, etc Funzionamento in tempo reale Elaborazione continua senza ritardi in funzione di parametri esterni 5 6 Lezione M - DDM 2005

2 Transistori per Chip Logiche programmabili - I Le logiche programmabili sono dei circuiti che l utente può configurare in modo che svolgano funzioni diverse. Derivano dalle memorie, che sono l esempio più semplice di logica programmabile. Una memoria con N fili d indirizzo e M fili di dato può essere vista come un circuito che può essere programmato per realizzare M funzioni combinatorie diverse ognuna di N ingressi. Le memorie non sono abbastanza flessibili, per cui sono nate architetture che meglio si adattano alle esigenze del progettista hardware 7 8 Logiche programmabili - II Le logiche programmabili all inizio potevano realizzare semplici funzioni combinatorie o sequenziali (PLA/PAL) In seguito sono state realizzate architetture sempre più complesse, veloci e flessibili. La programmazione avviene definendo il valore di bit di memoria. Tali bit sono usati per: Controllare dei deviatori che possono stabilire: quale tra n funzioni deve essere selezionata per una determinata cella interna del dispositivo quali celle interconnettere tra loro Programmare la funzione combinatoria svolta da una Look-Up Table 9 Matrice di porte AND programmabili connesse selettivamente a porte OR PLA Tabella di Programmazione F = AB + AC+ ABC F = AC+ BC 2 0 PAL Combinatoria PAL sequenziale Clock OE D Q I/O Q Matrice di porte AND programmabili connesse a porte OR fisse I 2 Lezione M - DDM

3 DIN DIN S/R Con trol S/R Control SD RD EC SD RD EC Elettronica per le telematica 05/04/2006 ESERCIZIO: PLD CPLD: Altera MAX 7000 CHE FUNZIONI REALIZZANO LE USCITE DELLA PLA? I I2 I3 I4 O O2 O3 O4 O = I2 I3 + I3 I4 ; O2 = I I4 + I I2 ; O3 = I I2 ; O4 = I2 I3 + I I4 3 4 LOGICHE PROGRAMMABILI: FPGA - I LOGICHE PROGRAMMABILI: FPGA - II DALLE PRIME PLD AD OGGI L INTEGRAZIONE HA PERMESSO DI REALIZZARE CIRCUITI LOGICI PROGRAMMABILI MOLTO COMPLESSI. SI CHIAMANO FIELD-PROGRAMMABLE-GATE- ARRAY (FPGA) E STANNO PRATICAMENTE RIMPIAZZANDO I CIRCUITI REALIZZATI CON LE FAMIGLIE LOGICHE TRADIZIONALI. CELLE LOGICHE PROGRAMMABILI INTERCONNESSIONI PROGRAMMABILI AD OGGI SONO DISPONIBILI SUL MERCATO FPGA CON DIVERSI MILIONI (!!!) DI GATE PROGRAMMABILI E CON DIVERSE CENTINAIA DI KBIT DI MEMORIA. LAVORANO A CENTINAIA DI MHz CON PIU DI 500 PIN DI I/O!! DEVICE XCV200E XCV600E SYSTEM GATES LOGIC GATES DIFF I/O PAIRS USER I/O BLOCK RAM Bits DISTRIB. RAM Bits CELLE DI I/O PROGRAMMABILI XCV2000E XCV3200E XC4000 Architecture Configurable Logic Blocks (CLBs) CLB CLB Switch Matrix CLB CLB Programmable Interconnect G4 G3 G2 G G C C2 C3 C4 H DIN S/R EC Vcc Slew Passive Rate Pull-Up, Control Pull-Down Output Buffer Input Buffer Q D Delay I/O Blocks (IOBs) Pad G4 G3 G2 G F4 F3 F2 F G F H C C2 C3 C4 H DIN S/R EC DIN DIN S/R Control S/R Control SD EC RD SD Y F4 F3 F2 F K F H Y X K EC RD X Configurable Logic Blocks (CLBs) 7 8 Lezione M - DDM

4 I/O Blocks (IOBs) LOGICHE PROGRAMMABILI: FPGA - III Slew Rate Control Passive Pull-Up, Pull-Down Vcc Output Buffer Pad Q D Delay Input Buffer Xilinx XC Dispositivi per programmazione di FPGA: EPROM Dispositivi per programmazione di FPGA: AntiFuse 2 22 Dispositivi per programmazione di FPGA: Metal-Metal AntiFuse Dispositivi per programmazione di FPGA: SRAM Lezione M - DDM

5 FPGA: stato dell arte FPGA: nuove architetture Altera e Xilinx dominano il mercato, con alcuni altri prodotti quali Actel, QuickLogic, Lattice e Atmel che vengono utilizzati in applicazioni specifiche Tecnologia dominante è la SRAM Actel ha introdotto tecnologia su Flash, che permette di avere velocità, dimensioni ridotte e non volatilità, caratteristiche dell AntiFuse, però con una tecnologia più semplice e standard Introdotti Core già progettati per blocchi quali interfacce di I/O (ad es. PCI) Interfacce di rete Semplici processori RISC DSP 25 Il Routing è il problema maggiore: Livelli multipli di metal Nuovi metodi per i Cross Points e le interconnessioni punto-punto CLB rimangono simili, con l aggiunta, alle LUT ed ai Mux, di blocchi quali Sommatori e Sottrattori per realizzare architetture DSP 26 ASIC FULL CUSTOM PER GRANDI VOLUMI DI PRODUZIONE E PREFERIBILE COSTRUIRE IL CIRCUITO CHE REALIZZA LE FUNZIONI VOLUTE APPLICATION SPECIFIC INTEGRATED CIRCUIT - ASIC IL PROGETTO E REALIZZATO CON STRUMENTI CAD SIMILI (ANCHE SE PIU COMPLESSI) A QUELLI PER IL PROGETTO DI FPGA. ESISTONO DIVERSI LIVELLI DA CUI SI PUO PARTIRE PER IL PROGETTO E DIVERSE SOLUZIONI TECNOLOGICHE; LA SCELTA TRA LE DIVERSE METODOLOGIE E LEGATA AI COSTI DI SVILUPPO (strumenti CAD, stazioni di lavoro, progettisti), COSTI DI PRODUZIONE (costi non ricorrenti, costi per integrato) TEMPI DI SVILUPPO (tempo per il progetto e la validazione) TEMPI DI PRODUZIONE (i passi tecnologici per arrivare al circuito completo) FULL CUSTOM: SI PROGETTANO TUTTI I COMPONENTI NECESSARI PER LE FUNZIONI RICHIESTE (SW, R, C, ETC..), E LE LORO INTERCONNESSIONI; MASSIMA FLESSIBILITA NEL PROGETTO MASSIMA COMPLESSITA (E COSTO) DI PROGETTO MASSIMA COMPLESSITA DI VERIFICA MASSIMO TEMPO DI SVILUPPO MASSIMI COSTI NON RICORRENTI GIUSTIFICATO SOLO PER GRANDISSIMI VOLUMI E PER APPLICAZIONI IN CUI NON ESISTONO (O SONO INCOMPLETE) LE LIBRERIE DI CELLE STANDARD CELL GATE ARRAY STANDARD CELL : SI PARTE DA UNA LIBRERIA DI CELLE (FF,CONTATORI, MPX, FULL-ADDER, ETC..) PROGETTATE DALLA SILICON FOUNDRY E SI PROGETTA SOLO UTILIZZANDO LE CELLE DISPONIBILI E LE LORO INTERCONNESSIONI MASSIMA FLESSIBILITA NEL PROGETTO MEDIA COMPLESSITA (E COSTO) DI PROGETTO MEDIA COMPLESSITA DI VERIFICA MEDIO TEMPO DI SVILUPPO MASSIMI COSTI NON RICORRENTI (si devono eseguire tutti i passi tecnologici previsti per il full custom) GIUSTIFICATO PER GRANDI VOLUMI E PER APPLICAZIONI IN CUI ESISTONO LE LIBRERIE DI CELLE GATE ARRAY: SI PARTE DA UN CIRCUITO INTEGRATO IN CUI IL COSTRUTTORE HA GIA REALIZZATO UNA MATRICE DI SW ; SI PROGETTANO SOLO LE INTERCONNESSIONI TRA GLI SW, EVENTUALMENTE USANDO FUNZIONI DI LIBRERIA MEDIA FLESSIBILITA NEL PROGETTO PICCOLA-MEDIA COMPLESSITA (E COSTO) DI PROGETTO RIDOTTA COMPLESSITA DI VERIFICA MEDIO-MINIMO TEMPO DI SVILUPPO MEDI COSTI NON RICORRENTI (si parte da circuiti con gli SW gia realizzati) GIUSTIFICATO PER MEDI VOLUMI E PER APPLICAZIONI IN CUI NON E NECESSARIO OTTENERE IL MASSIMO IN TERMINI DI PRESTAZIONI Lezione M - DDM

6 Elettronica per le telematica 05/04/2006 RIUSABILITA E MODULARITA ASIC: Wafer DATI I COSTI DI SVILUPPO DEI CIRCUITI ASIC SI CERCA, OVE POSSIBILE DI RIUTILIZZARE FUNZIONI LOGICHE GIA DISPONIBILI DA ALTRI PROGETTI OCCORRE ALLORA PENSARE DURANTE IL PROGETTO AL POSSIBILE RIUTILIZZO DELLE FUNZIONI LOGICHE DEFINENDO INTERFACCE E STANDARD CHE NE PERMETTANO UN SEMPLICE RIUTILIZZO E POSSIBILE ACQUISIRE ALL ESTERNO PARTI/FUNZIONI LOGICHE CHE REALIZZINO UN PARTICOLARE ALGORTIMO. IN QUESTO CASO SI COMPRA E SI SFRUTTA LA PROPRIETA INTELLETTUALE (IP) SVILUPPATA DA TERZE PARTI TRA LE IP SI POSSONO INSERIRE ANCHE LE PARTI PRINCIPALI (CORE) DI MICROPROCESSORI/DSP/MICROCONTROLLORI 3 Pentium 4 Wafer 32 ATM Physical Layer Controller Clock and Data Recovery Unit with Demultiplexer Transmission Convergence Unit 33 Linguaggi di Descrizione dell Hardware Indipendentemente dalla soluzione hardware, come progettare circuiti digitali complessi? Il progetto a partire da funzioni logiche elementari non è possibile quando si voglia realizzare un dispositivo complesso. E necessario partire da una descrizione funzionale del circuito, svincolata il più possibile dai dettagli della struttura hardware finale. Si usano dei linguaggi di descrizione dell hardware (Hardware Description Language) e si demanda ad un sistema automatico la traduzione a livello porte del sistema. 35 Lezione M - DDM VHDL - Introduzione VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuits Uso del VHDL Descrizione funzionale (Behavioural) Simulazione Compilazione (da descrizione a livello più basso) Verifica funzionale (simulazione post-layout) 36 6

7 Vantaggi VHDL Svantaggi VHDL Unico ambiente per simulazione e sintesi Permette definizione a livello funzionale del "test bench" e a livello via via più dettagliato del sistema da progettare Portabilità: la stessa descrizione può essere utilizzata per sintetizzare un circuito su logica programmabile o ASIC. Quasi tutti i sistemi CAD accettano il VHDL come input La sintesi di un circuito a partire da descrizione ad alto livello è meno ottimizzata di quanto si potrebbe fare a mano. Si possono utilizzare dei blocchi ottimizzati specifici di un certo costruttore di hardware, ma allora si perde la portabilità della descrizione Un esempio commerciale: Modelsim Progetto con Software Altera MaxPlus Uno degli strumenti più utilizzati nella simulazione di circuiti digitali è Modelsim Analizziamo il flusso di progetto Flusso di Progetto FPGA Analizziamo un esempio di progetto utilizzando il software commerciale per FPGA Altera Il flusso e l approccio è comune agli altri strumenti progettuali Descrizione Schematica Descrizione VHDL 4 42 Lezione M - DDM

8 Generazione Simbolo Descrizione Gerarchica Gerarchia Scelta del dispositivo Compilazione Simulazione Lezione M - DDM

9 Report Finale Programmazione del Dispositivo Lezione M - DDM

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..) F3x - Presentazione della lezione F3 1/1- Obiettivi Analisi del trend tecnologico Panoramica delle principali famiglie logiche cablate Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Dettagli

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC.

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC. ETLC2 - A 4/5/25 Modulo Politecnico di Torino Facoltà dell Informazione Elettronica delle telecomunicazioni II Presentazione A Dispositivi logici programmabili» Circuiti standard e custom» Componenti programmabili»

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Sistemi logici complessi

Sistemi logici complessi Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione

Dettagli

FPGA: Introduzione. Dispositivi Programmabili. FPGA - Flessibilità e prestazioni. FPGA - Caratteristiche

FPGA: Introduzione. Dispositivi Programmabili. FPGA - Flessibilità e prestazioni. FPGA - Caratteristiche FPGA: Introduzione Dispositivi Programmabili FPGA (Field( Programmable Gate Arrays) Introduzione Famiglie di FPGA: architetture e tipi di blocchi I blocchi logici di base Flusso di progetto e technology

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili.

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. GLOSSARIO A ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. AHDL Altera Hardware Description Language. Linguaggio di descrizione dell hardware sviluppato

Dettagli

Architettura dei Field- Programmable Gate Array

Architettura dei Field- Programmable Gate Array Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Field-Programmable Gate Arrays A.a. 2004-2005 Architettura dei Field- Programmable Gate Array Un FPGA è un circuito integrato

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

Sistemi Embedded. Sommario

Sistemi Embedded. Sommario Sistemi Embedded Tecnologie hardware Ing. Luigi Pomante Università dell Aquila DEWS luigi.pomante@univaq.it Tecnologie hardware Tecnologie (AS)IC Full custom Standard cell Gate array Tecnologie programmabili

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Elettronica dei Sistemi Digitali L-A

Elettronica dei Sistemi Digitali L-A Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Progettazione di Circuiti Digitali A.a. 2004-2005 Implementation Choices Digital Circuit Implementation Approaches Custom Semicustom

Dettagli

Elettronica dei Sistemi Digitali Dispositivi logici programmabili

Elettronica dei Sistemi Digitali Dispositivi logici programmabili Elettronica dei Sistemi Digitali Dispositivi logici programmabili Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 6013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP Ingegneria e Tecnologie dei Sistemi di Controllo Unità di Elaborazione: MicroControllori e DSP Ing. Andrea Tilli DEIS Alma Mater Studiorum Università di Bologna E-Mail: atilli@deis.unibo.it Revisionato:

Dettagli

Elettronica delle telecomunicazioni 2 21/05/2004

Elettronica delle telecomunicazioni 2 21/05/2004 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti induttivi e capacitivi, maglie comuni

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

Dispositivi logici programmabili (PLD)

Dispositivi logici programmabili (PLD) 58 G2 H2 Dispositivi logici programmabili (PLD) Un dispositivo logico programmabile costituisce una grande piattaforma sulla quale è possibile cablare circuiti che richiederebbero una gran quantità di

Dettagli

LOGICHE PROGRAMMABILI: PREFAZIONE

LOGICHE PROGRAMMABILI: PREFAZIONE LOGICHE PROGRAMMABILI: PREFAZIONE 1. INTRODUZIONE Diverse aree dell industria elettronica stanno ponendo sempre più interesse alle logiche programmabili. Le logiche programmabili ad alta densità offrono

Dettagli

Università degli Studi del Sannio. Facoltà di Ingegneria

Università degli Studi del Sannio. Facoltà di Ingegneria - Impressionante crescita in complessità degli attuali IC digitali - Progesso tecnologico più veloce di capacità umana di progettazione - Necessità di strumenti CAD e di ben definite metodologie di progetto

Dettagli

ASIC CARATTERISTICHE GENERALI INTRODUZIONE

ASIC CARATTERISTICHE GENERALI INTRODUZIONE ASIC CARATTERISTICHE GENERALI INTRODUZIONE La complessità di un circuito di elettronica digitale rende spesso difficoltosa la realizzazione del circuito stampato. Inevitabilmente ciò comporta l aumento

Dettagli

A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be

A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be Docenti Disciplina Cinzia Brunetto, Antonino Cacopardo SAE Sistemi Automatici Elettronici Competenze disciplinari di riferimento Il percorso formativo

Dettagli

Microelettronica Corso introduttivo di progettazione di sistemi embedded

Microelettronica Corso introduttivo di progettazione di sistemi embedded Microelettronica Corso introduttivo di progettazione di sistemi embedded Architettura dei sistemi a microprocessore prof. Stefano Salvatori A.A. 2014/2015 Eccetto dove diversamente specificato, i contenuti

Dettagli

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Lezione E2. Sistemi embedded e real-time

Lezione E2. Sistemi embedded e real-time Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

7. I linguaggi descrittivi HDL.

7. I linguaggi descrittivi HDL. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 7-1 7. I linguaggi descrittivi HDL. Introduzione. I linguaggi descrittivi HDL (Hardware Description Language) nascono

Dettagli

IC Test & Design for Testability

IC Test & Design for Testability IC Test & Design for Testability Collaudo (testing) dei sistemi digitali Realizzazione di sistemi digitali (VLSI) Collaudo e verifica Collaudo ideale e collaudo reale Costo del collaudo Ruolo del collaudo

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Chapter 6 Selected Design Topics

Chapter 6 Selected Design Topics Logic and Computer Design Fundamentals Chapter 6 Selected Design Topics Part 4 Programmable Implementation Technologies Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

Dettagli

Esercitazione di laboratorio n. 2

Esercitazione di laboratorio n. 2 Esercitazione di laboratorio n. 2 Argomento dell esercitazione Progetto di circuiti combinatori. L esercitazione è composta di tre esercizi: progetto di un Full Adder da 1 bit (esercizio 1), e suo riutilizzo

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Componenti principali

Componenti principali Componenti e connessioni Capitolo 3 Componenti principali n CPU (Unità Centrale di Elaborazione) n Memoria n Sistemi di I/O n Connessioni tra loro Architettura di Von Neumann n Dati e instruzioni in memoria

Dettagli

Tecnologie dei Sistemi di Automazione

Tecnologie dei Sistemi di Automazione Facoltà di Ingegneria Tecnologie dei Sistemi di Automazione Prof. Gianmaria De Tommasi Lezione 2 Architetture dei dispositivi di controllo e Dispositivi di controllo specializzati Corso di Laurea Codice

Dettagli

Giovanni De Luca. Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata. Gli acronimi. www.altera.

Giovanni De Luca. Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata. Gli acronimi. www.altera. www.delucagiovanni.com Corsi, Forum, Docs robotics and programming Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata Istituto Nazionale di Fisica Nucleare -

Dettagli

INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Processori per sistemi di controllo

INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Processori per sistemi di controllo INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Processori per sistemi di controllo Prof. Carlo Rossi DEIS - Università di Bologna Tel: 051 2093020 email: crossi@deis.unibo.it Classificazione Processori

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Field programmable Gate array

Field programmable Gate array Field programmable Gate array Overview dei dispositivi elettronici programmabili FPGA della famiglia Spartan-3 ing. Mario Barbareschi prof. Antonino Mazzeo PLD: Programmable Logic Device Un dispositivo

Dettagli

Architettura degli elaboratori

Architettura degli elaboratori Architettura degli elaboratori Ottavio D Antona dantona@di.unimi.it Maria Luisa Damiani damiani@di.unimi.it Dipartimento di Informatica Università degli Studi di Milano Architettura degli Elaboratori -

Dettagli

(b) LOGIC SYNTHESIS DESIGN FLOW

(b) LOGIC SYNTHESIS DESIGN FLOW 1 (b) LOGIC SYNTHESIS DESIGN FLOW ASIC HDL DIGITAL CIRCUITS DESIGN FLOW FPGA HDL DESIGN FLOW SINTESI DI TENTATIVO E SIMULAZIONE POST SINTESI DEL PROCESSORE MU0 OTTIMIZZAZIONE DELLA SINTESI DEL PROCESSORE

Dettagli

Componenti principali. Programma cablato. Architettura di Von Neumann. Programma cablato. Cos e un programma? Componenti e connessioni

Componenti principali. Programma cablato. Architettura di Von Neumann. Programma cablato. Cos e un programma? Componenti e connessioni Componenti principali Componenti e connessioni Capitolo 3 CPU (Unita Centrale di Elaborazione) Memoria Sistemi di I/O Connessioni tra loro 1 2 Architettura di Von Neumann Dati e instruzioni in memoria

Dettagli

Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO)

Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) 1^ parte Cosa è Arduino? Arduino è una piattaforma di sviluppo open-source basata su una semplice scheda

Dettagli

Architettura dei calcolatori

Architettura dei calcolatori Cos'è un calcolatore? Architettura dei calcolatori Esecutore automatico di algoritmi Macchina universale Elementi di Informatica Docente: Giorgio Fumera Corso di Laurea in Edilizia Facoltà di Architettura

Dettagli

CALCOLATORI ELETTRONICI II

CALCOLATORI ELETTRONICI II CALCOLATORI ELETTRONICI II L INTERFACCIA PARALLELA Argomenti della lezione Le interfacce parallele Il dispositivo Intel 855 Architettura Funzionamento Le interfacce parallele Esempio Le interfacce parallele

Dettagli

Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica)

Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica) Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica) Sistemi Elettronici Digitali1 (Corso di Laurea Specialistica in Ingegneria delle Telecomunicaizoni) Introduzione

Dettagli

Componenti e connessioni. Capitolo 3

Componenti e connessioni. Capitolo 3 Componenti e connessioni Capitolo 3 Componenti principali CPU (Unità Centrale di Elaborazione) Memoria Sistemi di I/O Connessioni tra loro Architettura di Von Neumann Dati e instruzioni in memoria (lettura

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

Dispositivi per il controllo

Dispositivi per il controllo Dispositivi per il controllo ordini di comando PARTE DI COMANDO PARTE DI POTENZA Controllori monolitici Controllori con architettura a bus Controllori basati su PC informazioni di ritorno PLC (Programmable

Dettagli

Lezione 15 Il Set di Istruzioni (1)

Lezione 15 Il Set di Istruzioni (1) Lezione 15 Il Set di Istruzioni (1) Vittorio Scarano Architettura Corso di Laurea in Informatica Università degli Studi di Salerno Un quadro della situazione Input/Output Sistema di Interconnessione Registri

Dettagli

Il Software programmabili programma algoritmo

Il Software programmabili programma algoritmo Il Software La proprietà fondamentale dei calcolatori e` quella di essere programmabili cioè in grado di svolgere compiti diversi a seconda delle istruzioni fornite dall utente mediante un programma, ossia

Dettagli

Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica)

Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica) Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica) Sistemi Elettronici Digitali1 (Corso di Laurea Specialistica in Ingegneria delle Telecomunicaizoni) Introduzione

Dettagli

senza stato una ed una sola

senza stato una ed una sola Reti Combinatorie Un calcolatore è costituito da circuiti digitali (hardware) che provvedono a realizzare fisicamente il calcolo. Tali circuiti digitali possono essere classificati in due classi dette

Dettagli

Memorie a semiconduttore

Memorie a semiconduttore Memoria centrale a semiconduttore (Cap. 5 Stallings) Architettura degli elaboratori -1 Pagina 209 Memorie a semiconduttore RAM Accesso casuale Read/Write Volatile Memorizzazione temporanea Statica o dinamica

Dettagli

Lez. 4 L hardware. Prof. Pasquale De Michele Gruppo 2

Lez. 4 L hardware. Prof. Pasquale De Michele Gruppo 2 Lez. 4 L hardware 1 Dott. Pasquale De Michele Dipartimento di Matematica e Applicazioni Università di Napoli Federico II Compl. Univ. Monte S.Angelo Via Cintia, I-80126, Napoli pasquale.demichele@unina.it

Dettagli

Corso di Architettura (Prof. Scarano) 09/04/2002

Corso di Architettura (Prof. Scarano) 09/04/2002 Corso di Architettura (Prof. Scarano) 09/0/2002 Un quadro della situazione Lezione 15 Il Set di Istruzioni (1) Vittorio Scarano Architettura Corso di Laurea in Informatica Università degli Studi di Salerno

Dettagli

Alimentatore master. Introduzione

Alimentatore master. Introduzione Alimentatore master Introduzione L alimentatore master è un controllore programmabile che permette all utente di sviluppare sequenze per i convertitori REEL dei tunnel, gestire I/O digitali e analogici

Dettagli

SECONDO BIENNIO ISTITUTO TECNICO ELETTRONICA ED ELETTROTECNICA

SECONDO BIENNIO ISTITUTO TECNICO ELETTRONICA ED ELETTROTECNICA SETTORE TECNOLOGICO ISTITUTO TECNICO INDIRIZZO ELETTRONICA ED ELETTROTECNICA ARTICOLAZIONE ELETTRONICA ESITI DI APPRENDIMENTO (competenze, abilità, conoscenze) Regolamento, Art.5, comma 1 Nota: Le Competenze,

Dettagli

Moduli combinatori Barbara Masucci

Moduli combinatori Barbara Masucci Architettura degli Elaboratori Moduli combinatori Barbara Masucci Punto della situazione Ø Abbiamo studiato le reti logiche e la loro minimizzazione Ø Obiettivo di oggi: studio dei moduli combinatori di

Dettagli

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Tipi di memorie Possono essere classificate in base a varie caratteristiche:

Dettagli

ELETTRONICA DIGITALE - A.A

ELETTRONICA DIGITALE - A.A ELETTRONICA DIGITALE - A.A. 2013-2014 Franco Meddi: E-mail franco.meddi@roma1.infn.it Studio Edificio Marconi 3-zo piano (M324) Telefono 06 49914416 1 Elettronica Digitale A.A. 2013-2014 Laurea Magistrale

Dettagli

AXO - Architettura dei Calcolatori e Sistema Operativo. organizzazione strutturata dei calcolatori

AXO - Architettura dei Calcolatori e Sistema Operativo. organizzazione strutturata dei calcolatori AXO - Architettura dei Calcolatori e Sistema Operativo organizzazione strutturata dei calcolatori I livelli I calcolatori sono progettati come una serie di livelli ognuno dei quali si basa sui livelli

Dettagli

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI CORSO DI ELETTRONICA DEI SISTEMI DIGITALI Capitolo 1 Porte logiche in tecnologia CMOS 1.0 Introduzione 1 1.1 Caratteristiche elettriche statiche di un transistore MOS 2 1.1.1 Simboli circuitali per un

Dettagli

Lezione 22 La Memoria Interna (1)

Lezione 22 La Memoria Interna (1) Lezione 22 La Memoria Interna (1) Vittorio Scarano Architettura Corso di Laurea in Informatica Università degli Studi di Salerno Organizzazione della lezione Dove siamo e dove stiamo andando La gerarchia

Dettagli

UNIVERSITÀ DEGLI STUDI DI TRIESTE

UNIVERSITÀ DEGLI STUDI DI TRIESTE UNIVERSITÀ DEGLI STUDI DI TRIESTE Facoltà di Ingegneria Corso di Laurea Triennale in Ingegneria dell Informazione Curriculum Elettronica Relatore: Professore Boscolo Antonio Laureanda: Giovanna Bernardi

Dettagli

Report tecnico descrittivo delle metodologie individuate per lo sviluppo di IP (RT-MET)

Report tecnico descrittivo delle metodologie individuate per lo sviluppo di IP (RT-MET) PROGETTO DI RICERCA AMBIENTE DI SVILUPPO PER APPARATI DI PROCESSING PER IL BROADCASTING DIGITALE (ASAP) Report tecnico descrittivo delle metodologie individuate per lo sviluppo di IP (RT-MET) Partita IVA

Dettagli

Sistemi e Tecnologie per l'automazione LM. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Sistemi e Tecnologie per l'automazione LM. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Magistrale in Ingegneria Informatica Laurea Magistrale in Ingegneria Elettronica e Telecomunicazioni per lo Sviluppo Sostenibile Sistemi e Tecnologie per l'automazione LM HW per elaborazione digitale

Dettagli

BASI DI DATI. basi di dati - introduzione ai sistemi informativi 1

BASI DI DATI. basi di dati - introduzione ai sistemi informativi 1 BASI DI DATI basi di dati - introduzione ai sistemi informativi 1 Sistema Informativo Insieme degli strumenti, risorse e procedure che consentono la gestione delle informazioni aziendali e' essenziale

Dettagli

Il Sottosistema di Memoria

Il Sottosistema di Memoria Il Sottosistema di Memoria Calcolatori Elettronici 1 Memoria RAM RAM: Random Access Memory Tempi di accesso indipendenti dalla posizione Statica o Dinamica Valutata in termini di Dimensione (di solito

Dettagli

Lezione 7 Sommatori e Moltiplicatori

Lezione 7 Sommatori e Moltiplicatori Architettura degli Elaboratori e delle Reti Lezione 7 Sommatori e Moltiplicatori Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 7 /36 Sommario

Dettagli

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA FONDAMENTI DI INFORMATICA Obiettivo del corso: Fornire le nozioni di base sull architettura dei sistemi di calcolo. Fornire i primi strumenti di descrizione e di analisi dei sistemi digitali. Descrivere

Dettagli

Corso di programmazione Arduino DI MALVEZZI DAVIDE

Corso di programmazione Arduino DI MALVEZZI DAVIDE Corso di programmazione Arduino DI MALVEZZI DAVIDE Argomenti Pin digitali e pin analogici Gestione di timer e bottoni Utilizzo della porta seriale Oggetto String Controllo di schermi LCD Utilizzo dell

Dettagli

Informatica A.A. 2006/2007. Università degli Studi di Catania. Giuseppe Nicosia

Informatica A.A. 2006/2007. Università degli Studi di Catania. Giuseppe Nicosia Informatica A.A. 2006/2007 CdL in Matematica e CdL Matematica per le Applicazioni Università degli Studi di Catania Giuseppe Nicosia www.dmi.unict.it/~nicosia Elementi Fondamentali di un Calcolatore Il

Dettagli

Livello logico digitale bus e memorie

Livello logico digitale bus e memorie Livello logico digitale bus e memorie Principali tipi di memoria Memoria RAM Memorie ROM RAM (Random Access Memory) SRAM (Static RAM) Basata su FF (4 o 6 transistor MOS) Veloce, costosa, bassa densità

Dettagli

Elettronica: sistemi digitali Introduzione

Elettronica: sistemi digitali Introduzione Elettronica: sistemi digitali Introduzione Roberto Roncella Il docente: presentazione Roberto Roncella Professore associato di elettronica Dipartimento di ingegneria dell informazione Indirizzo: Via Caruso,

Dettagli

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni Le macchine digitali Le Macchine digitali sono Sistemi artificiali che elaborano informazioni ogni informazione è descritta da variabili che possono assumere solo un numero finito di valori Ad ogni variabile

Dettagli

INFORMATICA INDUSTRIALE

INFORMATICA INDUSTRIALE INFORMATICA INDUSTRIALE Lezione 8: PLC Controllori Logici Programmabili Prof. Christian Forlani forlani@disco.unimib.it Logica Cablata E possibile realizzare un automatismo con: componenti elettromeccanici

Dettagli

Design for Testability (DFT): Scan

Design for Testability (DFT): Scan Design for Testability (DFT): Full-Scan Definizioni Metodi ad-hoc Scan design Regole di progetto Registri Flip-flops Scan test sequences Overhead Sistemi di progetto basati sulla scansione Sommario 1 Definizioni

Dettagli

LEZIONE 4. Hardware (periferiche) Software (algoritmi)

LEZIONE 4. Hardware (periferiche) Software (algoritmi) LEZIONE 4 Hardware (periferiche) Software (algoritmi) Prof. Valle Prof. Folgieri Lez4 Hardware e Software 1 PERIFERICHE DI INPUT E DI OUTPUT Periferiche di INPUT: Tastiera Mouse Trackball, touch pad, joystick

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Architettura degli elaboratori

Architettura degli elaboratori I blocchi fondamentali dell elaboratore Architettura degli elaboratori ingresso Memoria uscita elaborazione I blocchi fondamentali dell elaboratore I blocchi fondamentali Memoria centrale (RAM) Memoria

Dettagli

Sistemi digitali. Sistema digitale

Sistemi digitali. Sistema digitale Sistemi digitali 2/ 7 Sistema digitale In un sistema digitale le informazioni vengono rappresentate, elaborate e trasmesse mediante grandezze fisiche (segnali) che si considerano assumere solo valori discreti

Dettagli

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico ISTITUTO TECNICO STATALE MARCHI FORTI Viale Guglielmo Marconi n 16-51017 PESCIA (PT) - ITALIA PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico Docente PARROTTA GIOVANNI

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Architettura degli Elaboratori Università degli Studi di Padova Facoltà di Scienze MM.FF.NN. Corso di Laurea in Informatica docente: Alessandro Sperduti Informazioni Generali Lucidi ed esercizi disponibili

Dettagli

Circuiti di Indirizzamento della Memoria

Circuiti di Indirizzamento della Memoria Circuiti di Indirizzamento della Memoria Maurizio Palesi Maurizio Palesi 1 Memoria RAM RAM: Random Access Memory Tempi di accesso indipendenti dalla posizione Statica o Dinamica Valutata in termini di

Dettagli

3.5 Sintesi con decoder e OR

3.5 Sintesi con decoder e OR 3.5 Sintesi con decoder e OR Il DEC n:2 n Rete di trascodifica da codice binario a codice «1 su N» Gli n ingressi vengono spesso indicati come indirizzi (A, address), con A 0 indirizzo di minor peso L

Dettagli

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA FONDAMENTI DI INFORMATICA Obiettivo del corso: Fornire le nozioni di base sull architettura dei sistemi di calcolo. Fornire i primi strumento di descrizione e di analisi dei sistemi digitali. Descrivere

Dettagli