Page 1. SisElnF1 1/7/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E.

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Page 1. SisElnF1 1/7/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E."

Transcript

1 Ingegneria dell Informazione Modulo SISTEMI ELETTONII E E SEQUENZILI E1 rcuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» rcuiti sequenziali base» Flip-Flop, egistri, contatotori» Macchine a stati finiti» Trend tecnologico e famiglie logiche 1/7/ SisElnE1 - MZ Obiettivi del gruppo di lezioni E rcuiti combinatori» osa sono e come si realizzano semplici circuiti combinatori» nalisi del comportamento dei circuiti combinatori con il modello resistenza-interruttore» Derivazione di semplici funzioni logiche rcuiti sequenziali» ome si realizza un circuito digitale con memoria» Esempi di flip-flop e registri» omportamento dinamico dei flip-flop» Esempi di circuiti sequenziali: registri, contatori, shift» nalisi di macchine a stati finiti (FSM) Trend tecnologico e famiglie logiche» Evoluzione della tecnologia e famiglie logiche 1/7/ SisElnE1 - MZ Organizzazione 4 lezioni» caratteristiche base dei dispositivi logici combinatori» caratteristiche base dei circuiti logici sequenziali» macchine a stati finiti» trend tecnologico e famiglie logiche 1 laboratorio» Misure su circuiti combinatori e sequenziali 1/7/ SisElnE1 - MZ Page 1 MZ 1

2 Obiettivi di questa lezione (E1)» Derivazione di circuiti combinatori a partire dal modello semplificato resistenza-interruttore» alcolo dei tempi di ritardo e dei consumi» nalisi e progetto di circuiti logici complessi» nalisi di logiche a pass-transistor» iferimenti allo Jaeger: 7.1, 7.5, 7.6, 8.1, 8.2, 8.4-6, 8.8, 8.9 1/7/ SisElnE1 - MZ Le porte logiche elementari possono essere modellate con gruppi di esistenze () e Interruttori (SW)! Le possono essere delle vere e proprie esistenze! Gli SW modellano (non in modo completamente preciso) dei componenti attivi (MOS, ipolari, etc) non lineari che si comportano come interruttori Vout 1/7/ SisElnE1 - MZ I circuiti logici pilotati sono assimilabili a gruppi EEIVE DIVE i 1/7/ SisElnE1 - MZ Page 2 MZ 2

3 e di ingresso i Solitamente la i è molto elevata, tanto da poterla considerare trascurabile rispetto all impedenza della capacità!ingressi di tipo capacitivo 1/7/ SisElnE1 - MZ Supponiamo che il carico sia puramente capacitivo. Durante la commutazione dell uscita L!H, la corrente I scorre dall alimentazione verso il carico. Il tempo di salita vale: Tr = 2.2 ( ) L energia impiegata vale: E = 2 OMMUTZIONE L! H tempo per passare dal 10% al 90% del valore finale I Metà dell energia viene dissipata su e metà è immagazzinata su 1/7/ SisElnE1 - MZ OMMUTZIONE H! L Durante la commutazione dell uscita H!L, la corrente I scorre dal carico verso Il tempo di discesa dipende da come si modella l interruttore: Se SW è ideale!tf = 0 (non realistico) I Se SW è reale (con in serie una resistenza SW)!Tf = 2.2 SW (realistico) L energia immagazzinata su viene completamente dissipata su SW 1/7/ SisElnE1 - MZ Page 3 MZ 3

4 Oltre alla potenza dissipata durante la commutazione la topologia -SW prevede un consumo STTIO di POTENZ quando l uscita è a LIVELLO SSO Ps = I ovvero Ps = 2 / ( + SW) ONSUMO DI POTENZ IN = H sw La potenza statica è del tutto inutile per il funzionamento del dispositivo logico.! Si usano i circuiti -SW solo quando tecnologicamente conviene o quando non se ne può fare a meno (o quando il consumo di potenza non è fondamentale) 1/7/ SisElnE1 - MZ I -SW complessi 1 Il circuito -SW è generalizzabile con una rete di interruttori in parallelo verso collegati agli ingressi,, In questo modo si realizza la funzione logica NO = I LOLI DELL POTENZ DISSIPT E DEL ITDO SONO GLI STESSI DELL INVETE 1/7/ SisElnE1 - MZ -SW complessi 2 Se gli interruttori collegati agli ingressi,, sono posti in SEIE verso si realizza la funzione logica NND =.. I LOLI DELL POTENZ DISSIPT E DEL ITDO SONO GLI STESSI DELL INVETE, PEÒ: L SI DI VVIENE SULL SEIE DELLE SW 1/7/ SisElnE1 - MZ Page 4 MZ 4

5 ESEIZIO: -SW complessi! ealizzare la funzione logica = + ( ) SUGGEIMENTO: USE LE LEGGI DI DE MOGN!! alcolare Tf e Tr nelle diverse configurazioni degli ingressi (Tutte le resistenze degli switch sono uguali a SW ) 1/7/ SisElnE1 - MZ SW-SW complessi 1 IL POLEM DELLE LOGIHE -SW È IL ONSUMO STTIO DI POTENZ LIVELLO SSO DI USIT. QUNDO È POSSIILE TENOLOGIMENTE SI UTILIZZNO LOGIHE SW-SW. DUE TIPI DI SW: IN!HIUSO ON INGESSO L!HIUSO ON INGESSO H 1/7/ SisElnE1 - MZ SW-SW complessi 2 NON HNNO ONSUMO STTIO DI POTENZ (NON ESISTE UN PEOSO ONDUTTIVO T E ) H IN L H IN L 1/7/ SisElnE1 - MZ Page 5 MZ 5

6 SW-SW OMMUTZIONE L! H Durante la commutazione L!H, la corrente I scorre dall alimentazione verso il carico. Il tempo di salita vale (se swu = 0 ): I!Tr = 0 (non realistico) Se SW è reale (con in serie una resistenza SWd)!Tr = 2.2 SWu (realistico) L energia impiegata vale: E = 2 Se SW non è ideale comunque il tempo di salita è molto minore del caso -SW 1/7/ SisElnE1 - MZ SW-SW OMMUTZIONE H! L Durante la commutazione dell uscita H!L, la corrente I scorre dal carico verso lo zero volt () Il tempo di discesa dipende da come si modella l interruttore: Se SW è ideale!tf = 0 (non realistico) Se SW è reale (con in serie una resistenza SWd)!Tf = 2.2 SWd (realistico) I L energia immagazzinata su viene completamente dissipata su SWd 1/7/ SisElnE1 - MZ Il circuito SW-SW è generalizzabile con una rete di interruttori in parallelo verso ed una rete di interruttori in serie verso collegati agli ingressi,, In questo modo si realizza la funzione logica NO = SW-SW complessi 1 I LOLI DELL POTENZ DISSIPT E DEL ITDO SONO GLI STESSI DELL INVETE 1/7/ SisElnE1 - MZ Page 6 MZ 6

7 Se la rete superiore ha gli SW in parallelo e la rete inferiore ha gli SW in serie! si realizza la funzione logica NND SW-SW complessi 2 =.. I LOLI DELL POTENZ DISSIPT E DEL ITDO SONO GLI STESSI DELL INVETE 1/7/ SisElnE1 - MZ ESEIZIO: SW-SW complessi! ealizzare la funzione logica = + ( ) SUGGEIMENTO: USE LE LEGGI DI DE MOGN!! alcolare Tf e Tr nelle diverse configurazioni degli ingressi (Tutte le resistenze degli switch sono uguali a SWU e SWd ) 1/7/ SisElnE1 - MZ ESEIZIO: HLF DDE IVE IL IUITO (HLF DDE) HE ESEGUE L SOMM INI DI DUE IT E SUM = xor Y = and 1/7/ SisElnE1 - MZ Page 7 MZ 7

8 ESEIZIO: FULL DDE IVE IL IUITO (FULL DDE) HE ESEGUE L SOMM INI DI DUE IT E ON UN Y IN INGESSO SUM = xor xor Y_IN Y_ = ( ) + ( Y_IN) + ( Y_IN) OME SI PUÒ ELIZZE UN FULL DDE UTILIZZNDO SOLO DEGLI HLF DDE? 1/7/ SisElnE1 - MZ LOGI INTEUTTOI FUNZIONI LOGIHE POSSONO ESSEE ELIZZTE NHE UTILIZZNDO GLI INTEUTTOI IN SEIE L SEGNLE MULTIPLEXE : EXO : = S + S = xor S 1/7/ SisElnE1 - MZ SE 1/7/ SisElnE1 - MZ Page 8 MZ 8

Page 1. SisElnF1 12/21/01 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni F.

Page 1. SisElnF1 12/21/01 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni F. Ingegneria dell Informazione Modulo SISTEMI ELETTONII F E SEQUENZILI F1 rcuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» rcuiti sequenziali base» Flip-Flop, egistri,

Dettagli

SisElnF1 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori

SisElnF1 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti sequenziali

Dettagli

SisElnF1 12/21/01. F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori

SisElnF1 12/21/01. F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti sequenziali

Dettagli

SISTEMI. impostazione SISTEMI. progettazione. Saper utilizzare modelli di circuiti combinatori

SISTEMI. impostazione SISTEMI. progettazione. Saper utilizzare modelli di circuiti combinatori E1y - Presentazione del gruppo di lezioni E 1/3- Dove siamo? A SISTEMI impostazione componenti analogici C D E componenti digitali F SISTEMI progettazione E1y - Presentazione del gruppo di lezioni E 2/3-

Dettagli

SisElnE1bis 01/12/ /12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ.

SisElnE1bis 01/12/ /12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ. ngegneria dell nformazione Obiettivi del gruppo di lezioni E Modulo SSTEM ELETTRON E - RT LOG E1 - ircuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi -consumo ircuiti

Dettagli

Page 1. SisElnE1bis 1/10/ DDC 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E

Page 1. SisElnE1bis 1/10/ DDC 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E - LCIDI COMPLEMENTRI SEDE DI IVRE - 2002-03 E1 - Circuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi - consumo

Dettagli

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E. Circuiti combinatori. Circuiti sequenziali.

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E. Circuiti combinatori. Circuiti sequenziali. Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E - LUCIDI COMPLEMENTARI SEDE DI IVREA - AA 2002-03 E1 - Circuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi

Dettagli

Ingegneria dell Informazione SISTEMI ELETTRONICI

Ingegneria dell Informazione SISTEMI ELETTRONICI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E - LUCIDI COMPLEMENTARI SEDE DI IVREA - AA 2002-03 E1 - Circuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi

Dettagli

Page 1. SisElnE2 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D

Page 1. SisElnE2 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D2 Interfacciamento elettrico e compatibilità» stadi di uscita» compatibilità tra dispositivi logici 3/2/2002

Dettagli

D2x - Presentazione della lezione D2. D2a STADI DI USCITA

D2x - Presentazione della lezione D2. D2a STADI DI USCITA D2x - Presentazione della lezione D2 /- Obiettivi! conoscere diverse forme di stadi di uscita di dispositivi logici! saper calcolare resistori di pull-up per open collector! saper eseguire calcoli di fanout!

Dettagli

SISTEMI ELETTRONICI. SisElnE1 03/03/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni E

SISTEMI ELETTRONICI. SisElnE1 03/03/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni E ngegneria dell nformazione Obiettivi del gruppo di lezioni E Modulo TEM ELETTRON E - RT LOG E1 - ircuiti logici combinatori - porte logiche elementari - modelli R-W e W-W -consumo ircuiti combinatori»

Dettagli

SisElnE1 13/12/2002. D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici

SisElnE1 13/12/2002. D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici» caratteristiche base di un dispositivo digitale» compatibilità

Dettagli

SisElnF3 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi

SisElnF3 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi Ingegneria dell Informazione Modulo SISTEMI EETTRONICI E CIRCUITI COMBINATORI E SEUENZIAI E3 Circuti sequenziali complessi» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti

Dettagli

SisElnF3 1/7/2003. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi

SisElnF3 1/7/2003. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi Ingegneria dell Informazione Modulo SISTEMI EETTONICI E CICUITI COMBINATOI E SEUENZIAI E3 Circuti sequenziali complessi» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti

Dettagli

Page 1. SisElnE1 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Interfacciamento elettrico dei dispositivi. Obiettivi del gruppo di lezioni D

Page 1. SisElnE1 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Interfacciamento elettrico dei dispositivi. Obiettivi del gruppo di lezioni D gegneria dell formazione Obiettivi del gruppo di lezioni D Modulo SISTEMI ELETTRONICI D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici» caratteristiche base di

Dettagli

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A:

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A: ESPERIMENTZIONI DI FISIC 3 Traccia delle lezioni di Elettronica digitale M. De Vincenzi.: 22-23 Contenuto. Sistemi elettrici a 2 livelli 2. lgebra di oole Definizione Sistemi funzionali completi Leggi

Dettagli

Sistemi digitali. Sistema digitale

Sistemi digitali. Sistema digitale Sistemi digitali 2/ 7 Sistema digitale In un sistema digitale le informazioni vengono rappresentate, elaborate e trasmesse mediante grandezze fisiche (segnali) che si considerano assumere solo valori discreti

Dettagli

SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA )

SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA ) SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA 2005-06) Lezione A0: Introduzione Organizzazione del modulo, obiettivi, materiale didattico, Scomposizione di un sistema complesso in moduli funzionali, Diversi

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione Esercitazione Be: parte B - ELETTRONIC PPLICT E MISURE Dante DEL CORSO Be Esercizi parte B ()» Interfacciamento statico» Ritardi» Diagrammi temporali» Massima cadenza clock

Dettagli

in ogni istante di tempo, l'uscita dipende esclusivamente dalla combinazione (funzione logica) degli ingressi in quel istante

in ogni istante di tempo, l'uscita dipende esclusivamente dalla combinazione (funzione logica) degli ingressi in quel istante in ogni istante di tempo, l'uscita dipende esclusivamente dalla combinazione (funzione logica) degli ingressi in quel istante ircuiti combinatori (sequenziali) = circuiti non rigenerativi(rigenerativi)

Dettagli

Indice generale. Prefazione. Capitolo 1. Richiami di analisi dei circuiti 1. Capitolo 2. Analisi in frequenza e reti STC 39

Indice generale. Prefazione. Capitolo 1. Richiami di analisi dei circuiti 1. Capitolo 2. Analisi in frequenza e reti STC 39 Indice generale Prefazione xi Capitolo 1. Richiami di analisi dei circuiti 1 1.1. Bipoli lineari 1 1.1.1. Bipoli lineari passivi 2 1.1.2. Bipoli lineari attivi 5 1.2. Metodi di risoluzione delle reti 6

Dettagli

Elettronica I Porte logiche CMOS

Elettronica I Porte logiche CMOS Elettronica I Porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/ liberali Elettronica

Dettagli

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali Elettronica dei Sistemi igitali Registri di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali Moduli logici Moduli logici Interfacciamento di dispositivi logici Circuiti logici combinatori Circuiti logici sequenziali Registri, contatori e circuiti sequenziali Esempi e misure su circuiti digitali

Dettagli

Page 1. SisElnF3 1/10/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E

Page 1. SisElnF3 1/10/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E Ingegneria dell Informazione Modulo SISTEMI EETTONICI E CICUITI COMBINATOI E SEUENZIAI E3 Circuti sequenziali complessi» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti

Dettagli

SisElnF3 1/10/2003. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi

SisElnF3 1/10/2003. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi Ingegneria dell Informazione Modulo SISTEMI EETTONICI E CICUITI COMBINATOI E SEUENZIAI E3 Circuti sequenziali complessi» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti

Dettagli

Le porte logiche. Elettronica L Dispense del corso

Le porte logiche. Elettronica L Dispense del corso Le porte logiche Elettronica L Dispense del corso Gli Obiettivi Introdurre il concetto di funzione logica. Dare una corrispondenza tra funzioni logiche e strutture di gate elementari. Introdurre l algebra

Dettagli

SISTEMI SISTEMI. D1y - Presentazione del gruppo di lezioni D. impostazione. progettazione. D1y - Presentazione del gruppo di lezioni D.

SISTEMI SISTEMI. D1y - Presentazione del gruppo di lezioni D. impostazione. progettazione. D1y - Presentazione del gruppo di lezioni D. D1y - Presentazione del gruppo di lezioni D 1/5 - Dove siamo? A SISTEMI impostazione B componenti analogici C D E componenti digitali F SISTEMI D1y - Presentazione del gruppo di lezioni D 2/5 - Dove sono

Dettagli

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali.

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali. INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1 1.2) Interruttori ideali e reali. 1 1.3) Condizioni operative statiche del transistore a giunzione. 5

Dettagli

ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2018/2019

ISTITUTO ISTRUZIONE SUPERIORE L. EINAUDI ALBA ANNO SCOLASTICO 2018/2019 ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2018/2019 CLASSE 3 H (articolazione Automazione - ITI indirizzo Elettronica ed Elettrotecnica) Disciplina: ELETTRONICA ED ELETTROTECNICA

Dettagli

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni Le macchine digitali Le Macchine digitali sono Sistemi artificiali che elaborano informazioni ogni informazione è descritta da variabili che possono assumere solo un numero finito di valori Ad ogni variabile

Dettagli

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali Porte logiche di base Cenni circuiti, reti combinatorie, reti sequenziali NOT AND A R A B R OR A R B Quindi NAND o NOR sono complete circuiti con solo porte NAND o solo porte NOR. Reti combinatorie Rete

Dettagli

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione Indice Introduzione I VII Capitolo 1 Circuiti integrati digitali 1.0 Introduzione 1 1.1 Processo di integrazione CMOS 2 1.2 Caratteristiche elettriche dei materiali 11 1.2.1 Resistenza 11 1.2.1.1 Contatti

Dettagli

Laboratorio di Elettronica: Dispositivi elettronici e circuiti

Laboratorio di Elettronica: Dispositivi elettronici e circuiti Laboratorio di Elettronica: Dispositivi elettronici e circuiti Linee di trasmissione Misure su linee di trasmissione (1). Semiconduttori meccanismi di trasporto di carica nei semiconduttori giunzione PN

Dettagli

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Elettronica Digitale. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Paragrafi del Millman Cap. 6 6.- 6.4 M. De Vincenzi AA 9- Sistema

Dettagli

Interruttori Digitali

Interruttori Digitali Interruttori Digitali Ing. Ivan Blunno 21 aprile 2005 1 Introduzione In questa dispensa verranno presentati gli interruttori digitali. In particolar modo si parlerà delle possibili realizzazioni mediante

Dettagli

Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/ Appello 09 Febbraio 2012

Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/ Appello 09 Febbraio 2012 Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/2011 3 Appello 09 Febbraio 2012 Indicare chiaramente la domanda a cui si sta rispondendo. Ad esempio 1a) Esercizio 1. R 1 = 20 kω, R 2

Dettagli

Unità 4: L architettura fisica del computer moderno

Unità 4: L architettura fisica del computer moderno Unità 4: L architettura fisica del computer moderno Prerequisiti calcolo proposizionale sistemi di numerazione posizionale semplici nozioni di tecnologia elettrica capacità di scrivere semplici programmi

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 9 - B - 5:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 9 - B - 5: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 9 - B - 5: Comportamento dinamico dei circuiti logici Elettronica II - Dante Del Corso - Gruppo B - 7

Dettagli

I flip-flop ed il register file. Sommario

I flip-flop ed il register file. Sommario I flip-flop ed il register file Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano Riferimento sul Patterson: Sezioni C.9 e C.11 1/35

Dettagli

ITS Einaudi Appunti T.D.P. ITS Einaudi ITS EINAUDI. Elettronica e Telecomunicazioni. Tecnologia e Disegno per la Progettazione Elettronica

ITS Einaudi Appunti T.D.P. ITS Einaudi ITS EINAUDI. Elettronica e Telecomunicazioni. Tecnologia e Disegno per la Progettazione Elettronica ITS EINAUDI Elettronica e Telecomunicazioni Tecnologia e Disegno per la Progettazione Elettronica Porte Logiche PORTE LOGICHE - i parametri dei fogli tecnici Valori Massimi Assoluti Vcc max, Vin max, T

Dettagli

Circuiti sequenziali e latch

Circuiti sequenziali e latch Circuiti sequenziali e latch Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimento Patterson: sezioni C.7 & C.8. 1/32 Sommario

Dettagli

Esercitazione del 26/03/ Soluzioni

Esercitazione del 26/03/ Soluzioni Esercitazione del 26/03/2009 - oluzioni 1. Bistabile asincrono C (detto anche R) C C ~ Tabella delle transizioni o stato prossimo: C * 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 X 1 1 1 X Configurazioni

Dettagli

Tecniche di Progettazione Digitale Elementi di memoria CMOS e reti sequenziali p. 2

Tecniche di Progettazione Digitale Elementi di memoria CMOS e reti sequenziali p. 2 Tecniche di Progettazione igitale Elementi di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali Moduli logici Moduli logici Interfacciamento di dispositivi logici Parametri statici e dinamici Circuiti logici combinatori Circuiti logici sequenziali Esempi e misure su circuiti digitali Esempi ed esercizi

Dettagli

Circuiti sincroni circuiti sequenziali:bistabili e latch

Circuiti sincroni circuiti sequenziali:bistabili e latch Architettura degli Elaboratori e delle Reti Lezione 8 Circuiti sincroni circuiti sequenziali:bistabili e latch Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli

Dettagli

ELETTRONICA II. Prof. Pierluigi Civera - Politecnico di Torino. Gruppo C: Circuiti combinatori e sequenziali Lezione n.

ELETTRONICA II. Prof. Pierluigi Civera - Politecnico di Torino. Gruppo C: Circuiti combinatori e sequenziali Lezione n. ELETTRONICA II Prof. Pierluigi Civera - Politecnico di Torino Gruppo C: Circuiti combinatori e sequenziali Lezione n. 10 - C - 1: Circuiti combinatori reali Gruppo B: Circuiti combinatori e sequenziali

Dettagli

Note sul dimensionamento delle porte CML ed ECL.

Note sul dimensionamento delle porte CML ed ECL. Note sul dimensionamento delle porte ML ed L. imensionamento delle porte ML. La più semplice porta logica in tecnologia bipolare non saturata, è il circuito pilotato in corrente (ML o current-mode logic),

Dettagli

Politecnico di Torino - Facoltà di Ingegneria delll Informazione Sistemi Elettronici

Politecnico di Torino - Facoltà di Ingegneria delll Informazione Sistemi Elettronici Prova scritta del 16/09/02 correzioni tempo: 2 ore Esercizio 1) a) alcolare (V1, V2) per = 0 e per e O2 ideali. b) Tracciare il diagramma di ode di /V1, per il valore indicato di, con e O2 ideali. c) alcolare

Dettagli

D - Versione IVREA - AA D1 - Parametri elettrici statici e dinamici

D - Versione IVREA - AA D1 - Parametri elettrici statici e dinamici Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D - Versione IVREA - AA 2003-04 D1 - Parametri elettrici statici e dinamici - parametri elettrici dei circuiti digitali - compatibilità tra famiglie

Dettagli

I bistabili ed il register file

I bistabili ed il register file I bistabili ed il register file Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano 1/32 Sommario I problemi dei latch trasparenti sincroni

Dettagli

ISTITUTO DI ISTRUZIONE SUPERIORE J.C. MAXWELL Data: 04 /09 /2012 Pag. di PROGRAMMAZIONE ANNUALE A.S / 2016

ISTITUTO DI ISTRUZIONE SUPERIORE J.C. MAXWELL Data: 04 /09 /2012 Pag. di PROGRAMMAZIONE ANNUALE A.S / 2016 PROGRAMMAZIONE ANNUALE A.S. 2015 / 2016 INDIRIZZO SCOLASTICO LOGISTICA e TRASPORTI DISCIPLINA DOCENTE / I CLASSE / I ELETTROTECNICA, ELETTRONICA E AUTOMAZIONE BIASCA - BIFFI - PENNACCHIA TERZE AERONAUTICHE

Dettagli

PROGRAMMAZIONE DISCIPLINARE

PROGRAMMAZIONE DISCIPLINARE Ministero dell istruzione, dell università e della ricerca Istituto d Istruzione Superiore Severi-Correnti IIS Severi-Correnti 02-318112/1 via Alcuino 4-20149 Milano 02-89055263 codice fiscale 97504620150

Dettagli

Sviluppo tecnologico dell elettronica digitale:

Sviluppo tecnologico dell elettronica digitale: Sviluppo tecnologico dell elettronica digitale: Prestazioni e problemi: Famiglia logica: Insieme di gates che svolgono le funzioni logiche elementari basata su prefissati livelli logici (tensione/corrente),

Dettagli

Misure e Sistemi Microelettronici (MSM) Sistemi

Misure e Sistemi Microelettronici (MSM) Sistemi Misure e Sistemi Microelettronici (MSM) Sistemi Prof. Stefano Bertazzoni I semestre II emisemestre dal 24-11-08 al 29-01-09 Lunedì ore 13.30 15.45 Giovedì ore 14.00 16.15 Aula 4 NE Aula 2 NE Ricevimento

Dettagli

Un contatore è un registro che evolve secondo una sequenza predefinita di stati ordinati all applicazione di un impulso di ingresso

Un contatore è un registro che evolve secondo una sequenza predefinita di stati ordinati all applicazione di un impulso di ingresso ontatori binari Un contatore è un registro che evolve secondo una sequenza predefinita di stati ordinati all applicazione di un impulso di ingresso L impulso di ingresso o impulso di conteggio può coincidere

Dettagli

Page 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D

Page 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D - Versione IVREA - AA 2003-04 D2 - Interfacciamento elettrico e famiglie logiche - stadi di uscita - famiglie logiche 7-Jan-04 - Obiettivi del

Dettagli

Prima prova intercorso. Lezione 10 Logica Digitale (4) Dove siamo nel corso. Un quadro della situazione

Prima prova intercorso. Lezione 10 Logica Digitale (4) Dove siamo nel corso. Un quadro della situazione Prima prova intercorso Lezione Logica Digitale (4) Vittorio carano Architettura Corso di Laurea in Informatica Università degli tudi di alerno Architettura (2324). Vi.ttorio carano Mercoledì 9 Novembre,

Dettagli

Laboratorio di Elettronica Dispositivi elettronici e circuiti Linee di trasmissione Proprieta' e fenomenologia dei semiconduttori. Dispositivi a semiconduttore: * diodo a giunzione * transistor bjt * transistor

Dettagli

Elettronica dei Sistemi Digitali Le porte logiche CMOS

Elettronica dei Sistemi Digitali Le porte logiche CMOS Elettronica dei Sistemi Digitali Le porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Gli schemi circuitali impiegati per la realizzazione dei convertitori statici sono molteplici.

Gli schemi circuitali impiegati per la realizzazione dei convertitori statici sono molteplici. Gli schemi circuitali impiegati per la realizzazione dei convertitori statici sono molteplici. Infatti, la struttura del convertitore risulta fortemente influenzata: dal tipo di sorgente primaria di alimentazione;

Dettagli

CIRCUITI IN REGIME SINUSOIDALE

CIRCUITI IN REGIME SINUSOIDALE IUITI IN EGIME SINUSOIDALE 9.1. Nel circuito della figura il voltaggio alternato è V = V 0 cost con = 314 rad/s, V 0 = 311 V, L = 0.9 H, = 6.96 F. Se il fattore di potenza del circuito è pari a 0.98, la

Dettagli

Page 1. SisElnF3 01/12/2005 MZ 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni E. Ingegneria dell Informazione. Modulo

Page 1. SisElnF3 01/12/2005 MZ 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni E. Ingegneria dell Informazione. Modulo Igegeria dell Iformazioe Obiettivi del gruppo di lezioi E Modulo SISTEMI EETTONII E IUITI OMINTOI E SEUENZII E3 ircuti sequeziali complessi» Porte logiche combiatorie elemetari» Modello iterruttore-resisteza»

Dettagli

Laboratorio di Elettronica Dispositivi elettronici e circuiti Linee di trasmissione. Misure su linee di trasmissione. Amplificatore operazionale e reazione. Applicazioni dell'amplificatore operazionale.

Dettagli

Carico reattivo. Possiamo distinguere diversi tipi di carico: Lineare Non lineare (non trattato, es. lampadina)

Carico reattivo. Possiamo distinguere diversi tipi di carico: Lineare Non lineare (non trattato, es. lampadina) Interruttori allo stato solido 1 Introduzione Possiamo distinguere diversi tipi di carico: Lineare Non lineare (non trattato, es. lampadina) Ulteriore suddivisione carichi lineari: Carico senza memoria

Dettagli

6. Amplificatori di potenza

6. Amplificatori di potenza 6.1 Amplificatori switching 6. Amplificatori di potenza Lo studio degli amplificatori in classe A (capitolo 4) ha mostrato come ci sia una relazione lineare fra l ampiezza del segnale d ingresso e quello

Dettagli

Q1 D. CK Qn CK Q1. E3x - Presentazione della lezione E3

Q1 D. CK Qn CK Q1. E3x - Presentazione della lezione E3 E3x - Presentazione della lezione E3 1/1- Obiettivi» ivisori di frequenza e contatori asincroni» Contatori sincroni» Shift register e convertitori SIPO e PISO» Concetto elementare di macchina a stati finiti

Dettagli

Esercitazione del 03/04/ Soluzioni

Esercitazione del 03/04/ Soluzioni Esercitazione del 03/04/2008 - oluzioni 1. Bistabile asincrono (detto anche R) ~ * 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 X 1 1 1 X onfigurazioni vietate:il circuito per queste configurazioni

Dettagli

a.a. 2016/2017 Docente: Stefano Bifaretti

a.a. 2016/2017 Docente: Stefano Bifaretti a.a. 2016/2017 Docente: Stefano Bifaretti email: bifaretti@ing.uniroma2.it Gli schemi circuitali impiegati per la realizzazione dei convertitori statici sono molteplici. Infatti, la struttura del convertitore

Dettagli

Macchine Sequenziali

Macchine Sequenziali Macchine Sequenziali CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Tassonomia dei circuiti digitali Circuiti combinatori» Il valore

Dettagli

Programmazione di Dipartimento A.S. 2015/2016

Programmazione di Dipartimento A.S. 2015/2016 Programmazione di Dipartimento A.S. 2015/2016 Telecomunicazioni per l indirizzo Informatica e Telecomunicazioni Articolazione Informatica CLASSI TERZE 1 La disciplina Telecomunicazioni concorre a far conseguire

Dettagli

5. Amplificatori. Corso di Fondamenti di Elettronica Fausto Fantini a.a

5. Amplificatori. Corso di Fondamenti di Elettronica Fausto Fantini a.a 5. Amplificatori Corso di Fondamenti di Elettronica Fausto Fantini a.a. 2010-2011 Amplificazione Amplificare un segnale significa produrre un segnale in uscita (output) con la stessa forma d onda del segnale

Dettagli

INVERTITORE RESISTOR-TRANSISTOR LOGIC (RTL)

INVERTITORE RESISTOR-TRANSISTOR LOGIC (RTL) INERTITORE RESISTOR-TRANSISTOR LOGIC (RTL) FIG. 1. Resistor-Transistor Logic (RTL) inverter. ediamo un esempio di realizzazione di un invertitore (Figura 1). Assumiamo inizialmente che il fan-out dell

Dettagli

La giunzione pn in equilibrio termodinamico. Punto di funzionamento a riposo

La giunzione pn in equilibrio termodinamico. Punto di funzionamento a riposo I dispositivi a giunzione I dispositivi a giunzione La giunzione pn in equilibrio termodinamico La caratteristica statica Effetti capacitivi Analisi di piccolo segnale Il transistore bipolare Modelli del

Dettagli

SCHEDA PROGRAMMA SVOLTO CLASSE III A ELETTROTECNICA, ELETTRONICA, AUTOMAZIONE

SCHEDA PROGRAMMA SVOLTO CLASSE III A ELETTROTECNICA, ELETTRONICA, AUTOMAZIONE ISO 9001: 2015 Cert. N IT279107 Settori EA di attività Valid. 16.02.2018 15.02.2021 I S T I T U T O D I Rev. N.01 del 16.02.2018 I S T R U Z I O N E S U P E R I O R E B U C C AR I M AR C O N I Sede Buccari:

Dettagli

SisElnM1 08/03/ DDC 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni D. Ingegneria dell Informazione

SisElnM1 08/03/ DDC 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni D. Ingegneria dell Informazione iselnm1 8/3/27 ngegneria dell nformazione Obiettivi del gruppo di lezioni Modulo TEM ELETTRONC - CRCT TAL M1 Transistore MO come interruttore - caratteristiche dei transistori MO - modelli di MO in commutazione

Dettagli

a.a. 2014/2015 Docente: Stefano Bifaretti

a.a. 2014/2015 Docente: Stefano Bifaretti a.a. 2014/2015 Docente: Stefano Bifaretti email: bifaretti@ing.uniroma2.it Gli schemi circuitali impiegati per la realizzazione dei convertitori statici sono molteplici. Infatti, la struttura del convertitore

Dettagli

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15 PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15 Caratteristiche elettriche dei materiali Leggi di Ohm Generatori di tensione e di corrente Resistori in serie e in parallelo Partitori di tensione e di corrente

Dettagli

Giordano Bruno Budrio PIANO DI LAVORO ANNUALE ANNO SCOLASTICO 2013/2014

Giordano Bruno Budrio PIANO DI LAVORO ANNUALE ANNO SCOLASTICO 2013/2014 ISTITUTO STATALE DI ISTRUZIONE SCIENTIFICA, TECNICA E PROFESSIONALE Giordano Bruno Budrio PIANO DI LAVORO ANNUALE ANNO SCOLASTICO 2013/2014 DOCENTE: CODOCENTE: MATERIA: CLASSE: PROF. GIORGIO DI PAOLANTONIO

Dettagli

Page 1 SISTEMI ELETTRONICI. SisElnD2 01/02/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni D.

Page 1 SISTEMI ELETTRONICI. SisElnD2 01/02/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni D. gegneria dell formazione Obiettivi del gruppo di lezioni D Modulo SISTEMI EETTRONICI D - CIRCUITI DIGITAI D - terfacciamento elettrico e famiglie logiche - stadi di uscita - compatibilità - famiglie logiche

Dettagli

SISTEMI ELETTRONICI. SisElnM1 01/02/ DDC 1. Ingegneria dell Informazione. Gruppo D: moduli digitali. Modulo

SISTEMI ELETTRONICI. SisElnM1 01/02/ DDC 1. Ingegneria dell Informazione. Gruppo D: moduli digitali. Modulo iselnm1 1/2/28 ngegneria dell nformazione ruppo : moduli digitali Modulo TEM ELETTRONC - CRCT TAL M1 Transistore MO come interruttore - caratteristiche dei transistori MO - modelli di MO in commutazione

Dettagli

05EKL-Progetto di Circuiti Digitali. Richiami di Reti Logiche

05EKL-Progetto di Circuiti Digitali. Richiami di Reti Logiche 5EKL-Progetto di Circuiti Digitali Tutore: Federico Quaglio federico.quaglio@polito.it -564 44 (44) Richiami di Reti Logiche Tutoraggio # Sommario Richiami di algebra booleana Mappe di Karnaugh Coperture

Dettagli

ELETTRONICA : Compiti delle vacanze. Nome e Cognome:.

ELETTRONICA : Compiti delle vacanze. Nome e Cognome:. POR FSE 04-00 PARTE : LEGGI I SEGUENTI CAPITOLI DEL LIBRO DEL LIBRO L ENERGIA ELETTRICA, E RISPONDI ALLE DOMANDE. Capitoli 0- del libro L energia elettrica.. Che cosa è il magnetismo?e cosa si intende

Dettagli

I circuiti sequenziali

I circuiti sequenziali Elementi di logica digitale I circuiti sequenziali I circuiti combinatori non hanno memoria. Gli output dipendono unicamente dagli input. ono necessari circuiti con memoria, che si comportano in modo diverso

Dettagli

MODULO 1 - TRASFORMATORI MONOFASE E TRIFASE. Competenze di ambito Prerequisiti Abilità / Capacità Conoscenze Livelli di competenza

MODULO 1 - TRASFORMATORI MONOFASE E TRIFASE. Competenze di ambito Prerequisiti Abilità / Capacità Conoscenze Livelli di competenza Corso: Manutenzione e Assistenza Tecnica Opzione: Apparati, Impianti e Servizi Tecnici Industriali e Civili Docenti: Elettra Pignotti Ottavio Secreti Anno Scolastico: 2015-2016 Classe: 4AP Materia: Tecnologie

Dettagli

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali Porte logiche Porte Logiche Lucidi del Corso di Elettronica Digitale Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Una porta logica

Dettagli

Circuiti Combinatori

Circuiti Combinatori Circuiti Combinatori circuiti combinatori sono circuiti nei quali le uscite dipendono solo dalla combinazione delle variabili logiche presenti nello stesso istante all ingresso Essi realizzano: Operazioni

Dettagli

R 2 R 1 R 3 R 4 V DD B M 6 A M 5 C M 4 Y M 3 C M 2 M 1 C 2. C 1 v in. v out 2 _

R 2 R 1 R 3 R 4 V DD B M 6 A M 5 C M 4 Y M 3 C M 2 M 1 C 2. C 1 v in. v out 2 _ Raccolta dei testi d'esame di ELETTRONI Polo idattico e di Ricerca di rema nno 1999 1 Prova scritta del 1 Febbraio 1999 ompitino: esercizi 1.1, 1.2 e 1.3. I 0 R 4 1.2 Nel circuito in gura 2, l'amplicatore

Dettagli

a.a. 2017/2018 Docente: Stefano Bifaretti

a.a. 2017/2018 Docente: Stefano Bifaretti a.a. 2017/2018 Docente: Stefano Bifaretti email: bifaretti@ing.uniroma2.it Gli schemi circuitali impiegati per la realizzazione dei convertitori statici sono molteplici. nfatti, la struttura del convertitore

Dettagli

4 STRUTTURE CMOS. 4.1 I componenti CMOS

4 STRUTTURE CMOS. 4.1 I componenti CMOS 4.1 4 STRUTTURE CMOS 4.1 I componenti CMOS Un componente MOS (Metal-Oxide-Silicon) transistor è realizzato sovrapponendo vari strati di materiale conduttore, isolante, semiconduttore su un cristallo di

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

La logica Cuniberti cucchi-vol.1 Segnali elettrici. Segnale analogico

La logica Cuniberti cucchi-vol.1 Segnali elettrici. Segnale analogico La logica Cuniberti cucchi-vol.1 Segnali elettrici I segnali elettrici, di tensione o di corrente, sono grandezze che variano in funzione del tempo; in base al loro andamento, o forma d onda, possono essere

Dettagli

Esercitazione 11. Control-Unit. Data-Path

Esercitazione 11. Control-Unit. Data-Path Esercitazione 11 Sommario Unità di controllo cablate 1. Unità di controllo L architettura interna di una CPU può essere modellata attraverso una struttura costituita da 2 unità interagenti: percorso dati

Dettagli

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali Porte logiche Porte Logiche Lucidi del Corso di Elettronica Digitale Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Una porta logica

Dettagli

a) Si scriva la tabella ingressi-uscite e per ogni mintermine individuato si scriva la forma algebrica corrispondente:

a) Si scriva la tabella ingressi-uscite e per ogni mintermine individuato si scriva la forma algebrica corrispondente: ARCHITETTURA DEI CALCOLATORI E SISTEMI OPERATIVI - ESERCIZI DI LOGICA. 30 OTTOBRE 2015 ESERCIZIO N. 1 LOGICA COMBINATORIA Si progetti in prima forma canonica (SoP) una rete combinatoria avente 4 ingressi

Dettagli

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Valentino Liberali Dipartimento di Fisica Università degli Studi di Milano valentino.liberali@unimi.it Elettronica

Dettagli