ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

Documenti analoghi
ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 14/7/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016

NOTA: è possibile sostenere i due moduli in appelli diversi e comunque entro la sessione di Settembre 2018 (incluso preappello FE)

Cognome Nome Mat. Data / / 1) Nel diodo Zener la barriera di potenziale in polarizzazione diretta è pari a... ( )a 0V ( )b 0,35V ( )c 0,7V

Cognome Nome Mat. Data / /

Out. Gnd. ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA Appello d esame del 27/10/2017

Retta di carico (1) La retta dipende solo da entità esterne al diodo. Corso Fisica dei Dispositivi Elettronici 1

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

Prima esercitazione. a.a

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 31/3/2016

Riassunto tecnica digitale

Circuiti Digitali. Appunti del Corso

DESCRIZIONE DEL FUNZIONAMENTO

Page 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D

Page 1. SisElnE2 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D

D2x - Presentazione della lezione D2. D2a STADI DI USCITA

Elettronica digitale

Seconda esercitazione

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Sistemi di Elettronica Digitale, Sez.3

ELETTRONICA II. Prof. Pierluigi Civera - Politecnico di Torino. Gruppo C: Circuiti combinatori e sequenziali Lezione n.

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche Appello del 1 marzo 2011

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr.

senza stato una ed una sola

Memorie e dispositivi sequenziali

Introduzione al VHDL. Alcuni concetti introduttivi

Famiglie logiche Fondamenti di elettronica digitale, A. Flammini, AA

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

Reti Logiche A Esame del 13 settembre 2007

Politecnico di Torino - Facoltà di Ingegneria delll Informazione Sistemi Elettronici

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Indice. I Dispositivi a semiconduttore 1. Prefazione. Prologo. Breve storia dell elettronica

Circuito Invertitore (1)

Lezione E15. Sistemi embedded e real-time

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili.

ITS Einaudi Appunti T.D.P. ITS Einaudi ITS EINAUDI. Elettronica e Telecomunicazioni. Tecnologia e Disegno per la Progettazione Elettronica

Lo schema a blocchi del circuito integrato Timer 555 è il seguente:

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali.

Amplificatore Operazionale

Reti Logiche A II Prova - 2 marzo 2009

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Porte logiche in tecnologia CMOS

CAPITOLO 6 PORTE LOGICHE TTL. 6.1 L invertitore TTL. La Figura 6.1 riporta lo schema di un invertitore TTL standard.

Un linguaggio per la descrizione dello hardware: il VHDL

Vout Vo1. Vo0 Vt. Vin. D3x - Presentazione della lezione D3. D3a COMPARATORI SENZA ISTERESI

Un linguaggio per la descrizione dello hardware: il VHDL

ANNO SCOLASTICO: 2018/2019 PROGRAMMA

Introduzione al VHDL Lezione 2

Tecnologia CMOS. Ing. Ivan Blunno 21 aprile 2005

Sistemi di Elettronica Digitale, Sez.2

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Page 1. D - Versione IVREA - AA D2 - Interfacciamento elettrico e famiglie logiche

Sviluppo tecnologico dell elettronica digitale:

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali

Fondamenti di Elettronica, Sez.4

Reti Logiche A II Prova - 11 febbraio 2008

Il timer 555. Laboratorio di Segnali e Sistemi II - Il timer 555

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30

Misure e Sistemi Microelettronici (MSM) Sistemi

SisElnE1 13/12/2002. D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D1 - Caratteristiche base dei dispositivi logici

COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 ALLIEVI INFORMATICI J-Z

ANNO SCOLASTICO: 2016/2017 PROGRAMMA

Reti Logiche A - Prova di mercoledì 16 febbraio 2005

Addizione tra numeri binari

Logica cablata (wired logic)

Appunti di Elettronica per Fisici

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche

Stadi di Ingresso e Uscita speciali

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

Introduzione al VHDL. Alcuni concetti introduttivi

Page 1 SISTEMI ELETTRONICI. SisElnD2 01/02/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni D.

Le porte logiche. Elettronica L Dispense del corso

Compito di linguaggi di descrizione dell hardware

Appunti di Elettronica per Fisici

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1

Laboratorio di Elettronica: Dispositivi elettronici e circuiti

Questa parte tratta le problematiche del pilotaggio low-side di carichi di potenza: Pilotaggio low-side con MOS. Pilotaggio low-side con BJT

ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL. Torino, 16/05/2005

Fondamenti di Elettronica, Sez.5

Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07. UsodelVHDL. Stefano Ferrari

Architetture e reti logiche. Esercitazioni VHDL. a.a. 2005/06. Uso del VHDL. Stefano Ferrari

Page 1. SisElnE1 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Interfacciamento elettrico dei dispositivi. Obiettivi del gruppo di lezioni D

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano

Sequential Processing

Appunti di Elettronica per Fisici

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A:

Reti Logiche A II Prova - 1 febbraio 2010

Reti Logiche A Esame del 19 febbraio 2007

Introduzione alla sintesi comportamentale

CAPITOLO 7 DISPOSITIVI INTEGRATI ANALOGICI

Università degli Studi di Enna Kore

Transcript:

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti) Cognome Nome Matr. Data / / 1) Il FANOUT statico... ( )a dipende dal valore della corrente Iih del dispositivo pilotato ( )b dipende dal valore della corrente Iih del dispositivo pilota ( )c dipende dalla capacità d ingresso Cin del dispositivo pilotato 2) Se applico in ingresso un segnale minore di Vil o maggiore di Vih, l uscita si porta ad un valore.. ( )a impredicibile ( )b compreso tra gnd e Vol o tra Voh e Vcc ( )c compreso tra Vol e Voh 3) La famiglia logica DTL, rispetto alla RTL... ( )a modifica sostanzialmente l architettura dello stadio di ingresso ( )b modifica sostanzialmente l architettura dello stadio di uscita ( )c modifica il tipo di transistore utilizzato (FET invece di BJT) 4) La metastabilità si può presentare se si violano le condizioni di set-up ( )a in un Flip-Flop ( )b in un moltiplicatore ( )c in una porta logica con ingresso non Schmidt trigger 5) Un sommatore a 4 bit che possa essere utilizzato per realizzare sommatori a 8 o 16 bit ha ( )a 4 ingressi dati, 4 uscite dati e il bit di uscita di Carry ( )b 8 ingressi dati, 4 uscite dati e il bit di uscita di Carry ( )c 8 ingressi dati, 4 uscite dati, il bit di uscita di Carry e il bit di ingresso di Carry 6) Una cella di memoria EEPROM a 1 bit è costituita da ( )a un flip-flop di tipo D e un buffer 3-state ( )b un interruttore e un condensatore ( )c un NMOS speciale con gate aggiuntivo flottante 7) Un dispositivo GAL ( )a implementa solo forme canoniche ( )b ha la matrici di OR e di AND interamente programmabili ( )c è tra i più recenti e diffusi dispositivi SPLD 1

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 (1h 40 minuti) Commentare i seguenti modelli di uscita di dispositivi logici in termini di caratteristiche logiche (buffer invertente o non invertente) e di caratteristiche elettriche (tensione di uscita, dipendenza della tensione di uscita dalla corrente di uscita) (2 punti) dicare quale modello si adatta a ben rappresentare dispositivi RTL e quale si adatta a ben rappresentare dispositivi CMOS Io Io a b c d e Dato il circuito in figura, si identifichi la famiglia logica e il tipo di porta logica (funzione logica) e si calcolino i valori Voh, Vol, Vih, Vil a vuoto, illustrando la funzione del diodo D1 (2 punti) R1 R2 Vcc T4 R4 Vcc R ViA ViB T1 T2 T3 D1 Vo T1 R3 1) Si definisca il comparatore a 4 bit illustrando le possibilità di connessione per la realizzazione di di comparatori a byte. Si sviluppi il programma in linguaggio booleano per una GAL22V10 considerando parole a 2 bit 3) e il programma VHDL 2

3

SOLUZIONI, Appello di Elettronica Generale, FED, 8/9/2015 1) Il FANOUT statico... (x)a dipende dal valore della corrente Iih del dispositivo pilotato ( )b dipende dal valore della corrente Iih del dispositivo pilota ( )c dipende dalla capacità d ingresso Cin del dispositivo pilotato 2) Se applico in ingresso un segnale minore di Vil o maggiore di Vih, l uscita si porta ad un valore.. ( )a impredicibile (x)b compreso tra gnd e Vol o tra Voh e Vcc ( )c compreso tra Vol e Voh 3) La famiglia logica DTL, rispetto alla RTL... (x)a modifica sostanzialmente l architettura dello stadio di ingresso ( )b modifica sostanzialmente l architettura dello stadio di uscita ( )c modifica il tipo di transistore utilizzato (FET invece di BJT) 4) La metastabilità si può presentare se si violano le condizioni di set-up (x)a in un Flip-Flop ( )b in un moltiplicatore ( )c in una porta logica con ingresso non Schmidt trigger 5) Un sommatore a 4 bit che possa essere utilizzato per realizzare sommatori a 8 o 16 bit ha ( )a 4 ingressi dati, 4 uscite dati e il bit di uscita di Carry ( )b 8 ingressi dati, 4 uscite dati e il bit di uscita di Carry (x)c 8 ingressi dati, 4 uscite dati, il bit di uscita di Carry e il bit di ingresso di Carry 6) Una cella di memoria EEPROM a 1 bit è costituita da (x)a un flip-flop di tipo D e un buffer 3-state ( )b un interruttore e un condensatore ( )c un NMOS speciale con gate aggiuntivo flottante 7) Un dispositivo GAL ( )a implementa solo forme canoniche ( )b ha la matrici di OR e di AND interamente programmabili (x)c è tra i più recenti e diffusi dispositivi SPLD 4

Commentare i seguenti modelli di uscita di dispositivi logici in termini di caratteristiche logiche (buffer invertente o non invertente) e di caratteristiche elettriche (tensione di uscita, dipendenza della tensione di uscita dalla corrente di uscita) (2 punti) Si tratta di modelli statici o dinamici? Io Io a b c d e Soluzione Data Vo=tensione di uscita e Io=corrente di uscita, si dice che un livello logico è forte se Vo dipende poco dal valore di Io, se invece si ha una relazione ohmica (lineare), allora si dice che il livello è debole. Per i circuiti in figura si ha: a) Identità, 1 forte (Vo =, Io infinita), 0 debole (Vo = Io) b) Identità, 1 meno forte (Vo=(-Io R)/2 se ==R, ma Vo=/2 se Io=0), 0 come a c) NOT, 0 forte (Vo = gnd, Io infinita), 1 debole (Vo = - Io) d) NOT, 0 meno forte (Vo=(+Io R)/2 se ==R, ma Vo=/2 se Io=0), 1 come c e) NOT, 1 0 simmetrici se = (Vo= Io se = 0, Vo=- Io se 1 ) I dispositivi RTL sono ben rappresentati dal modello c, mentre i dispositivi CMOS sono ben rappresentati dal modello e. Dato il circuito in figura, si identifichi la famiglia logica e il tipo di porta logica (funzione logica) e si calcolino i valori Voh, Vol, Vih, Vil a vuoto, illustrando la funzione del diodo D1 (2 punti) Vcc R1 R2 R4 Vcc T4 R ViA ViB T1 T2 T3 D1 Vo T1 R3 5

Si tratta di una porta NAND TTL standard. Considerando l uscita a 0 a vuoto si ha: Vol Vce,sat = 0.2V Iol = Ic,max Icbo > n*iil Iih = Icbo < 10 A Vih = 2Vbe,sat > 1.4V 1.5V Considerando l uscita a 1 a vuoto si ha: Voh = Vcc R2*Ib4 Vbe4-Vd Vcc-Vd-Vce-Rc*Ioh > Vih (tip. Voh 3V) Ioh > n*iih (tip. n>10) Iil = (Vcc-Vce,sat-Vd,sat)/R1 1mA Vil < 1.4V 1.3V Il diodo D1 impedisce al Transistore T4 di entrare in conduzione quando T3 è in conduzione. fatti, se T3 è in conduzione, all uscita Vo c è una tensione pari a Vce,sat ossia circa uguale a 0,3V. Se T3 è in conduzione allora anche T2 è in conduzione e sul collettore di T2 c è una tensione pari alla tensione di emettitore di T2 più Vce,sat; ma dato che l emettitore di T2 è connesso alla base di T3 che è in saturazione, allora sull emettitore di T2 c è una tensione pari a Vbe,sat ossia circa 0,7V. Ne consegue che sul collettore di T2, che è connesso alla base di T4, c è una tensione pari a Vbe,sat+Vce,sat, ossia circa 1V. Se non ci fosse il diodo D1, T4 sarebbe in conduzione in quanto la tensione in base sarebbe Vbe,sat+Vce,sat e la tensione all emettitore sarebbe Vce,sat. Il diodo D1 funge quindi da traslatore di tensione e potrebbe svolgere la sua funzione anche con il catodo connesso alla base di T4 e l anodo connesso sul collettore di T2. 1) Si definisca il comparatore a 4 bit illustrando le possibilità di connessione per la realizzazione di di comparatori a byte. Si sviluppi il programma in linguaggio booleano per una GAL22V10 considerando parole a 2 bit 3) e il programma VHDL Soluzione 1) Il comparatore a 4 bit che possa essere connesso in cascata dispone di 3 uscite OA=B, OA>B, OA<B, 4 ingressi per la prima parola da confrontare (A0-A3), 4 ingressi per la seconda parola da confrontare (B0-B3), 3 ingressi che sono le uscite dello stadio a livello più basso. La tabella della verità e lo schema di connessione sono i seguenti: A 3,B 3 A 2,B 2 A 1,B 1 A 0,B 0 I A=B I A>B I A<B O A=B O A>B O A<B A 3 >B 3 X X X X X X L H L A 3 <B 3 X X X X X X L L H A 3 =B 3 A 2 >B 2 X X X X X L H L A 3 =B 3 A 2 <B 2 X X X X X L L H A 3 =B 3 A 2 =B 2 A 1 >B 1 X X X X L H L A 3 =B 3 A 2 =B 2 A 1 <B 1 X X X X L L H A 3 =B 3 A 2 =B 2 A 1 =B 1 A 0 >B 0 X X X L H L A 3 =B 3 A 2 =B 2 A 1 =B 1 A 0 <B 0 X X X L L H A 3 =B 3 A 2 =B 2 A 1 =B 1 A 0 =B 0 L L L L H H A 3 =B 3 A 2 =B 2 A 1 =B 1 A 0 =B 0 L L H L L H A 3 =B 3 A 2 =B 2 A 1 =B 1 A 0 =B 0 L H L L H L A 3 =B 3 A 2 =B 2 A 1 =B 1 A 0 =B 0 L H H H L L A 3 =B 3 A 2 =B 2 A 1 =B 1 A 0 =B 0 H X X H L L 6

X 0 X 1 A 0 A 1 X 4 X 5 A 0 A 1 X 2 X 3 Y 0 B 0 Y 1 A 2 A 3 B 1 X 6 X 7 Y 4 B 0 Y 5 A 2 A 3 B 1 Y 2 Y 3 GND GND VCC B 2 B 3 I A<B I A>B I A=B O A<B O A>B O A=B Y 6 Y 7 B 2 B 3 I A<B I A>B I A=B O A<B O A>B O A=B Le equazioni sarebbero OA=B = OAeqB = (A1&B1+!A1&!B1)&(A0&B0+!A0&!B0)&(IAeqB+IAgB&IAlB) OA>B = OAgB = A1&!B1 + (A1&B1+!A1&!B1)&A0&!B0 + (A1&B1+!A1&!B1)&(A0&B0+!A0&!B0)&!IAeqB&!IAlB OA<B = OAlB =!A1&B1 + (A1&B1+!A1&!B1)&!A0&B0 + (A1&B1+!A1&!B1)&(A0&B0+!A0&!B0)&!IAeqB&!IAgB 2) Il programma della GAL sarebbe: nc S1 S0 A B C D nc nc nc nc gnd nc nc nc nc OUT nc nc nc nc nc nc Vdd OAeqB = A1&B1&A0&B0&IAeqB + A1&B1&A0&B0& IAgB&IAlB + A1&B1&!A0&!B0&IAeqB + A1&B1&!A0&!B0& IAgB&IAlB +!A1&!B1&A0&B0&IAeqB +!A1&!B1&A0&B0& IAgB&IAlB +!A1&!B1&!A0&!B0&IAeqB +!A1&B1&!A0&!B0& IAgB&IAlB OAeqB.oe = Vdd OAgB = A1&!B1 + A1&B1&A0&!B0 +!A1&!B1&A0&!B0 + A1&B1&A0&B0&!IAeqB&!IAlB + A1&B1&!A0&!B0&!IAeqB &!IAlB +!A1&!B1&A0&B0&!IAeqB &!IAlB +!A1&!B1&!A0&!B0&!IAeqB &!IAlB OAgB.oe = Vdd OAlB =!A1&B1 + A1&B1&!A0&B0 +!A1&!B1&!A0&B0 + A1&B1&A0&B0&!IAeqB&!IAgB + A1&B1&!A0&!B0&!IAeqB&!IAgB +!A1&!B1&A0&B0&!IAeqB&!IAgB +!A1&!B1&!A0&!B0&!IAeqB&!IAgB OAlB.oe = Vdd Una possibile implementazione in linguaggio VHDL è la seguente: LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY comp IS PORT (IAeqB, IAgB, IAlB: IN std_logic; A, B: std_logic_vector(1 DOWNTO 0); OAeqB, OAgB, OAlB: OUT std_logic); END comp; 7

ARCHITECTURE archcomp OF comp IS BEGIN PROCESS (IAeqB, IAgB, IAlB, A, B) BEGIN IF A > B THEN OAgB <= '1'; OAlB <= '0'; ELSIF A < B THEN OAgB <= '0'; OAlB <= '1'; ELSE IF (IAeqB = '1')OR((IAgB = '1')AND(IAlB = '1')) THEN OAeqB <= '1'; OAgB <= '0'; OAlB <= '0'; ELSIF (IAgB = '1')AND(IAlB = '0') THEN OAgB <= '1'; OAlB <= '0'; ELSIF (IAgB = '0')AND(IAlB = '1') THEN OAgB <= '0'; OAlB <= '1'; ELSE OAgB <= '1'; OAlB <= '1'; END IF; END IF; END PROCESS; END archcomp; 8