Elettronica per l'informatica 03/11/2005

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Elettronica per l'informatica 03/11/2005"

Transcript

1 Contenuto dell unità D Dispositivi logici programmabili struttura e funzioni flusso di progetto Elettronica per telecomunicazioni 2 Lezione D4 Sistemi Digitali Dispositivi logici programmabili Sistemi embedded Circuiti integrati custom Tecnologie per dispositivi programmabili Architetture per dispositivi programmabili Logiche programmabili Analogiche programmabili I sistemi digitali attuali hanno complessità tale da renderne impossibile la realizzazione a partire da circuiti integrati standard per problemi di ingombro, consumo, velocità. Esistono circuiti che realizzano funzioni complesse (es. Microcontrollore, Periferica), ma se la funzione richiesta non è disponibile? Due possibilità: Circuiti Custom: progettati per risolvere un problema specifico (ASIC); Logiche programmabili: circuiti generici configurabili dall utente (FPGA). 3 4 Sistemi embedded Esempi di Sistemi Embedded Sistemi di elaborazione embedded Dove si trovano? Difficile da definire Qualunque apparato elettro-meccanico contiene al suo interno un sistema di elaborazione embedded Automobili, treni, aerei Macchine fotografiche, videocamere, televisori Elettrodomestici, elettromedicali Etc Miliardi di esemplari prodotti ogni anno Esempio: in un auto si possono mediamente trovare 50 unità (centraline) 5 Anti-lock brakes Auto-focus cameras Automatic teller machines Automatic toll systems Automatic transmission Avionic systems Battery chargers Camcorders Cell phones Cell-phone base stations Cordless phones Cruise control Curbside check-in systems Digital cameras Disk drives Electronic card readers Electronic instruments Electronic toys/games Factory control Fax machines Fingerprint identifiers Home security systems Life-support systems Medical testing systems Modems MPEG decoders Network cards Network switches/routers On-board navigation Pagers Photocopiers Point-of-sale systems Portable video games Printers Satellite phones Scanners Smart ovens/dishwashers Speech recognizers Stereo systems Teleconferencing systems Televisions Temperature controllers Theft tracking systems TV set-top boxes VCR s, DVD players Video game consoles Video phones Washers and dryers 6 Lezione E - DDM-CP 2005

2 Sistemi embedded Sistemi embedded Caratteristiche comuni dei sistemi embedded Basati su singole (o poche) funzioni eseguite ripetitivamente Condizioni stringenti : Bassa potenza Basso costo Piccole dimensioni Elevata frequenza di clock, etc Funzionamento in tempo reale Elaborazione continua senza ritardi in funzione di parametri esterni 7 Tecnologie utilizzabili: Processori General purpose Application specific Single purpose General purpose processor Application specific processor Desired functionality Single purpose processor 8 Sistemi embedded Tecnologie utilizzabili: Circuiti integrati Full custom VLSI Semi-cutom ASIC (standard cells, gate arrays) PLD (Programmable Logic Device) 0,000 Standard Logic CMOS Logic Programmable Logic ASIC,000 Logic transistors per chip (in millions) ASSP Other Standard Logic Simple PLD FPGA CPLD Gate Array Standard Cell Full Custom Le logiche programmabili sono dei circuiti che l utente può configurare in modo che svolgano funzioni diverse. Derivano dalle memorie, che sono l esempio più semplice di logica programmabile. Una memoria con N fili d indirizzo e M fili di dato può essere vista come un circuito che può essere programmato per realizzare M funzioni combinatorie diverse ognuna di N ingressi. Le memorie non sono abbastanza flessibili, per cui sono nate architetture che meglio si adattano alle esigenze del progettista hardware Il progettista può comprare il dispositivo e utilizzarlo immediatamente per prototipare Le connessioni posso essere programmate Sono disponibili architetture di diverso tipo Benefici Bassi costi di sviluppo Svantaggi Dispositivi più costosi e grandi dei chip custom Maggior consumo di potenza, minore Fclock 2 Lezione E - DDM-CP

3 Tecnologie tipiche EPROM EEPROM SRAM Architettura di cella Look-up table Tecnologia SRAM Prodotto di termini Tecnologie EPROM e EEPROM 3 Le logiche programmabili all inizio potevano realizzare semplici funzioni combinatorie o sequenziali (PLA/PAL) In seguito sono state realizzate architetture sempre più complesse, veloci e flessibili. La programmazione avviene definendo il valore di bit di memoria. Tali bit sono usati per: Controllare dei deviatori che possono stabilire: quale tra n funzioni deve essere selezionata per una determinata cella interna del dispositivo quali celle interconnettere tra loro Programmare la funzione combinatoria svolta da una Look-Up Table 4 Trend tecnologico Trend tecnologico µm - 2 level Al 5M transistors 5 MHz internal clock I/O - 30Mbs µm - 2 level Al 5M transistors 5 MHz internal clock I/O - 30Mbs 0.8µm - 7 level Al 200M transistors 00 MHz internal clock I/O -622Mbs DLL clock mgmt 266 MHz DDR interface 0.% partial reconf. 5 6 Architetture Trend tecnologico µm - 2 level Al 5M transistors 5 MHz internal clock I/O - 30Mbs µm - 7 level Al 200M transistors 00 MHz internal clock I/O -622Mbs DLL clock mgmt 266 MHz DDR interface 0.% partial reconf nm - 0 level Cu 2B transistors 750 MHz internal clock.5 GHz processor Mixedsignalblocks I/O - 0Gbs Variousclock mgmt modules with <50ps clock skew 750 MHz QDR interface 35% partial reconf. FPGA permettono: Facile verifica e risoluzione dei bottleneck Progetto incrementale Hardware/software co-design Upgrade dell hardware sul campo Facile riconfigurazione dell hardware per ogni applicazione I processori offrono flessibilià ma a prestazioni ridotte Gli ASIC non possono offrire questa flessibilità 7 8 Lezione E - DDM-CP

4 Input Piano OR Architetture Matrice di porte AND programmabili connesse selettivamente a porte OR PLA Tabella di Programmazione Piano AND F = AB + AC+ ABC F = AC+ BC 2 Output 9 20 PAL Combinatoria PAL sequenziale Clock OE D Q I/O Q Matrice di porte AND programmabili connesse a porte OR fisse I 2 22 Esercizio: PLD CPLD: Altera MAX 7000 CHE FUNZIONI REALIZZANO LE USCITE DELLA PLA? I I2 I3 I4 O O2 O3 O4 O = I2 I3 + I3 I4 ; O2 = I I4 + I I2 ; O3 = I I2 ; O4 = I2 I3 + I I Lezione E - DDM-CP

5 DIN F' G' G' DIN F' G' F' S/R Con trol S/R Control SD D Q RD EC SD D Q RD EC Elettronica per l'informatica 03//2005 Logiche programmabili: FPGA - I Logiche programmabili : FPGA - II DALLE PRIME PLD AD OGGI L INTEGRAZIONE HA PERMESSO DI REALIZZARE CIRCUITI LOGICI PROGRAMMABILI MOLTO COMPLESSI. SI CHIAMANO FIELD-PROGRAMMABLE-GATE- ARRAY (FPGA) E STANNO PRATICAMENTE RIMPIAZZANDO I CIRCUITI REALIZZATI CON LE FAMIGLIE LOGICHE TRADIZIONALI. CELLE LOGICHE PROGRAMMABILI INTERCONNESSIONI PROGRAMMABILI AD OGGI SONO DISPONIBILI SUL MERCATO FPGA CON DIVERSI MILIONI (!!!) DI GATE PROGRAMMABILI E CON DIVERSE CENTINAIA DI KBIT DI MEMORIA. LAVORANO A CENTINAIA DI MHz CON PIU DI 500 PIN DI I/O!! DEVICE XCV200E XCV600E SYSTEM GATES LOGIC GATES DIFF I/O PAIRS USER I/O BLOCK RAM Bits DISTRIB RAM Bits CELLE DI I/O PROGRAMMABILI XCV2000E XCV3200E XC4000 Architecture Configurable Logic Blocks (CLBs) CLB CLB Switch Matrix CLB CLB Programmable Interconnect G4 G3 G2 G G Func. Gen. C C2 C3 C4 H DIN S/R EC Vcc Slew Passive Rate Pull-Up, Control Pull-Down D Q Output Buffer Input Buffer Q D Delay I/O Blocks (IOBs) Pad G4 G3 G2 G F4 F3 F2 F G Func. Gen. F Func. Gen. H Func. Gen. C C2 C3 C4 H DIN S/R EC DIN F' G' G' DIN F' G' S/R Control S/R Control SD D Q EC RD SD D Q Y F4 F3 F2 F K F Func. Gen. H Func. Gen. Y X K F' EC RD X Configurable Logic Blocks (CLBs) I/O Blocks (IOBs) Logiche programmabili: FPGA - III Slew Rate Control Passive Pull-Up, Pull-Down Vcc D Q Output Buffer Pad Q D Delay Input Buffer Xilinx XC Lezione E - DDM-CP

6 Logiche programmabili Logiche programmabili 3 32 Logiche programmabili Programmazione di FPGA: EPROM Programmazione di FPGA: AntiFuse Programmazione: Metal-Metal AntiFuse Lezione E - DDM-CP

7 Programmazione di FPGA: SRAM FPGA: stato dell arte 37 Altera e Xilinx dominano il mercato, con alcuni altri prodotti quali Actel, QuickLogic, Lattice e Atmel che vengono utilizzati in applicazioni specifiche Tecnologia dominante è la SRAM Actel ha introdotto tecnologia su Flash, che permette di avere velocità, dimensioni ridotte e non volatilità, caratteristiche dell AntiFuse, però con una tecnologia più semplice e standard Introdotti Core già progettati per blocchi quali interfacce di I/O (ad es. PCI) Interfacce di rete Semplici processori RISC DSP 38 FPGA: nuove architetture Il Routing è il problema maggiore: Livellimultiplidi metal Nuovi metodi per i Cross Points e le interconnessioni punto-punto CLB rimangono simili, con l aggiunta, alle LUT ed ai Mux, di blocchi quali Sommatori e Sottrattori per realizzare architetture DSP Elettronica per telecomunicazioni Indice della lezione D5 Stili di progettazione Stili di progettazione con dispositivi logici programmabili Linguaggi di descrizione dell hardware Esempio di design-entry, compilazione, simulazione e download Utilizzo di tool di progettazione Dall idea al download su logica Stili di progettazione comune Gruppo di lavoro Ottimizzazione Portabilità su diverse tecnologie Migrazione e/o ingegnerizzazione Prestazioni dettate dalla tecnologia e non dal progetto Regole base per un buon progetto 4 42 Lezione E - DDM-CP

8 Stili di progettazione comune Portabilità Metodi utilizzati a livello di design-entry Grafico Utilizzo di simboli classici Librerie di componenti parametrizzati (LPM) Migrazione da altre tecnologie Testuale Linguaggi di descrizione dell hardware Equation Descrizione algebrica delle funzionalità Regole di progetto portabile Livello di descrizione Nel caso del Comportamentale Strutturale Utilizzo di librerie Customizzate dal produttore Ottimizzate Dedicate però alla tecnologia e alla componentistica che si sta utilizzando nel progetto corrente (pericolo!) Regole base di progetto Regole base per un buon progetto Clock Unico segnale con vari ENABLE dislocati nelle diverse celle Glitch Eventi della durata di pochi ns Evitare che i LATCH vengano pilotati direttamente da logica combinatoria senza rilettura Skew Utilizzo di linee non dedicate per la distribuzione dei segnali di clock Elettronica per telecomunicazioni Indice della lezione D5 Stili di progettazione con dispositivi logici programmabili Linguaggi di descrizione dell hardware Esempio di design-entry, compilazione, simulazione e download Fasi: Design Entry (immissione dati) Simulazione funzionale (verifica) Sintesi (compilazione fase I) Fitting (compilazione fase II) Analisi statica dei ritardi (analisi prestazioni) Simulazione timing (verifica post-compilazione) Download (programmazione del dispositivo) Lezione E - DDM-CP

9 Design Entry DESIGN ENTRY SINTESI SIMULAZIONE Dalle specifiche di progetto alla realizzazione della rete logica Diverse tecnologie disponibili: Grafico Testuale Equation C = A AND B NO FUNZIONA? SI PROGRAMMAZIONE FPGA 49 ENTITY decoder IS PORT (num : IN INTEGER RANGE 0 TO 5; a,b,c,d,e,f,g : OUT BIT); END decoder; 50 Simulazione Sintesi e fitting Funzionale Generazione dei vettori di test Verifica della corretta evoluzione del funzionamento Non tiene conto dei ritardi (oppure ha ritardi unitari) Timing Utilizza gli stessi vettori della simulazione funzionale Back-annotation Ritardi dovuti al placement e al routing (possibilità Operazioni eseguite durante la compilazione (esempio di MAX+plus II di ALTERA): Verifica sintattica Ottimizzazione Placement & routing Fusemap Creazione Partizionamento Estrazione base dati parametri di una simulazione intermedia) post-compilazione 5 52 Analisi dei ritardi Analisi dei ritardi Critical path Massima frequenza di funzionamento Lezione E - DDM-CP

10 Floorplanning Ottimizzazione delle prestazioni Customizzazione avanzata di progetto Elettronica per telecomunicazioni Indice della lezione D5 Linguaggi di descrizione dell hardware Stili di progettazione con dispositivi logici programmabili Linguaggi di descrizione dell hardware Esempio di design-entry, compilazione, simulazione e download Linguaggi in grado di portare ad una simulazione e sintesi di circuiti digitali (ma non solo...) NON SONO linguaggi di programmazione Paralleli vs. Sequenziali Prestazioni legate allo stile di descrizione e alle qualità dei compilatori Linguaggi di descrizione dell hardware VERILOG HDL (984 Gateway Design Automation) (987 US Dept. Of Defense) ABEL (sviluppato dalla Data I/O Corporation e adesso detenuto da Lattice Semiconductor) AHDL (linguaggio proprietario di ALTERA) CUPL (linguaggio proprietario di Logical Devices, Inc.) Handel C (Celoxica) Il è un linguaggio per la sintesi automatica e la simulazione di circuiti digitali : VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit Standardizzato nel 993 (IEEE standard ) Lezione E - DDM-CP

11 Simulazione Livello comportamentale (behavioural) Il componente viene descritto con il suo comportamento ingresso-uscita Livello strutturale (RTL o gate) Il componente viene descritto connettendo tra loro piu blocchi Sintesi logica A partire generalmente dalla descrizione RTL Vantaggi Unico ambiente per simulazione e sintesi Portabilità: la maggior parte dei CAD di progettazione per FPGA o ASIC accettano il in ingresso Svantaggi Ottimizzazione della sintesi poco controllabile Perdita di portabilità se si utilizzano celle proprietarie 6 62 Il NON E un linguaggio di programmazione (C, Java, Pascal, etc...) Presenta alcune caratteristiche fondamentali: TIMING: gestione dei tempi di propagazione dei segnali CONCURRENCY: capacità di simulare lo svolgimento di più operazioni contemporanee (programmazione parallela ). Elementi fondamentali: ENTITY: è l interfaccia esterna del componente ARCHITECTURE: è la descrizione funzionale del componente PACKAGE: insieme di definizioni (tipi, costanti e/o operatori) LIBRARY: insieme di componenti o package Elementi fondamentali ENTITY ENTITY ARCHITECTURE ARCHITECTURE maxpld OF casting IS BEGIN IF (op > 6) THEN result = 6 ELSE result = 0 END IF; OUTPUT ARCHITECTURE ARCHITECTURE 2 ARCHITECTURE N END maxpld; INPUT Lezione E - DDM-CP 2005

12 LIBRARY IEEE; USE IEEE.std_logic_64.all; Inclusione di librerie LIBRARY IEEE; USE IEEE.std_logic_64.all; Inclusione di librerie ENTITY seg IS PORT (num : IN INTEGER RANGE 0 TO 5; a,b,c,d,e,f,g : OUT BIT); END seg; Specifiche di interfaccia LIBRARY IEEE; USE IEEE.std_logic_64.all; ENTITY decoder IS PORT (num : IN INTEGER RANGE 0 TO 5; a,b,c,d,e,f,g : OUT BIT); END decoder; ARCHITECTURE pippo OF decoder IS BEGIN WITH num SELECT a <= '0' WHEN , '' WHEN 4 3; WITH num SELECT b <= '0' WHEN , '' WHEN ; WITH num SELECT c <= '0' WHEN , '' WHEN ; END pippo; Inclusione di librerie Specifiche di interfaccia Specifiche architetturali 69 Tipi INTEGER, BIT, BOOLEAN BIT_VECTOR, INTEGER RANGE a TO b STD_LOGIC, STD_LOGIC_VECTOR Tipi definiti dall utente Combinatorio e sequenziale Assegnazione concorrente (operatore <=): valida SEMPRE (logica combinatoria) Logica sequenziale: PROCESS 70 - ESEMPI Logica combinatoria ENTITY comb IS PORT (a, b: IN BIT; c: OUT BIT ); END comb; ARCHITECTURE max OF comb IS BEGIN c <= a AND b; END max; Logica sequenziale ENTITY reg IS PORT (d, clk: IN BIT; q: OUT BIT ); END reg; ARCHITECTURE max OF reg IS BEGIN PROCESS BEGIN WAIT UNTIL clk = ; q <= d; END PROCESS END max; 7 Lezione E - DDM-CP

Elettronica per le telecomunicazioni 21/05/2004

Elettronica per le telecomunicazioni 21/05/2004 Contenuto dell unità D Elettronica per telecomunicazioni Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

Elettronica delle telecomunicazioni 2 21/05/2004

Elettronica delle telecomunicazioni 2 21/05/2004 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti induttivi e capacitivi, maglie comuni

Dettagli

Tecniche Analogiche e tecniche Digitali

Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Da rappresentazione Analogica a Digitale Trattamento dell'informazione Esercizi riepilogativi 2 2005 Politecnico di Torino

Dettagli

Lezione E1 - CP

Lezione E1 - CP Contenuto dell unità E Memorie RAM ROM, EPROM, EEPROM, Flash Elettronica per l informatica Dispositivi logici programmabili struttura e funzioni flusso di progetto Memorie 1 2 Indice della lezione E1 Memorie

Dettagli

SisElnF4 10/01/ /01/ SisElnF DDC/GP/MGG. 10/01/ SisElnF DDC/GP/MGG. Kilby 1958 Texas Instrument (1 Flip-Flop)

SisElnF4 10/01/ /01/ SisElnF DDC/GP/MGG. 10/01/ SisElnF DDC/GP/MGG. Kilby 1958 Texas Instrument (1 Flip-Flop) Ingegneria dell Informazione Obiettivi del gruppo di lezioni F Modulo SISTEMI ELETTRONICI F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI F4 Tecnologie e flussi di progetto» Evoluzione delle tecnologie»

Dettagli

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC.

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC. ETLC2 - A 4/5/25 Modulo Politecnico di Torino Facoltà dell Informazione Elettronica delle telecomunicazioni II Presentazione A Dispositivi logici programmabili» Circuiti standard e custom» Componenti programmabili»

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili.

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili. Di cosa parliamo in questa lezione? Lezione E5 Logiche In questa lezione si descrivono i dispositivi logici Logiche Sistemi embedded e real-time 2 Struttura degli 24 gennaio 23 3 Dipartimento di Ingegneria

Dettagli

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LS Bologna, 21 Novembre 2003 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Page 1. SisElnF5 1/21/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni F

Page 1. SisElnF5 1/21/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni F Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche» Trend tecnologico dell elettronica digitale» Famiglie logiche cablate:

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LA Cesena, 4 Febbraio 2005 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche» Trend tecnologico dell elettronica digitale» Famiglie logiche cablate:

Dettagli

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche» Trend tecnologico dell elettronica digitale» Famiglie logiche cablate:

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA)

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Stefano Mattoccia, DISI - University of Bologna 1 Architettura di una FPGA Anche se in questo corso si adotterà una metodologia

Dettagli

Circuiti integrati semi-custom

Circuiti integrati semi-custom Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Introduzione Qualsiasi sistema digitale

Dettagli

Computer Aided Design. Matteo Montani

Computer Aided Design. Matteo Montani Computer Aided Design Matteo Montani Sommario Gestione di progetti logici complessi Metodologie di progetto (sintesi - verifica) Strategie di implementazione di circuiti digitali - Full custom (layout)

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

VHDL - Introduzione. //

VHDL - Introduzione. // VHDL - Introduzione VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuits Scopo presentazione: introdurre un sottoinsieme del sottoinsieme del linguaggio VHDL utilizzabile

Dettagli

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili.

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. GLOSSARIO A ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. AHDL Altera Hardware Description Language. Linguaggio di descrizione dell hardware sviluppato

Dettagli

Sistemi logici complessi

Sistemi logici complessi Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione

Dettagli

Fondamenti di Informatica B

Fondamenti di Informatica B Fondamenti di Informatica B Lezione n. 8 Alberto Broggi Gianni Conte A.A. 2005-2006 Fondamenti di Informatica B DESCRIZIONE LIVELLO REGISTRO REGISTER TRANSFER LEVEL (RTL) I MODULI BASE RTL STRUTTURE DI

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..) F3x - Presentazione della lezione F3 1/1- Obiettivi Analisi del trend tecnologico Panoramica delle principali famiglie logiche cablate Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Dettagli

Introduzione al linguaggio VHDL

Introduzione al linguaggio VHDL Introduzione al linguaggio VHDL Il VHDL è un linguaggio per la sintesi e la simulazione di circuiti digitali, uno standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito

Dettagli

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A Technology Dependance Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Introduzione al linguaggio VHDL F.Campi A.a. 2004-2005 Design Iteration Semicustom Design Flow Pre-Layout

Dettagli

Page 1. Circuiti digitali 2002 DDC 1. Elettronica per le telecomunicazioni. Gruppo di lezioni D: contenuto

Page 1. Circuiti digitali 2002 DDC 1. Elettronica per le telecomunicazioni. Gruppo di lezioni D: contenuto Elettronica per le telecomunicazioni Gruppo di lezioni D: contenuto Circuiti digitali D.1 - Compilazione di Hardware linguaggi di descrizione dell HW, VHDL tecnologie custom e logiche programmabili esempio

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

Metodologie di progetto HW Livelli d astrazione

Metodologie di progetto HW Livelli d astrazione Metodologie di progetto HW Livelli d astrazione Diagramma Y Flusso di sintesi Livelli di astrazione Versione del 15/03/06 Domini di rappresentazione dei circuiti: funzionale strutturale e fisico Tecnologia

Dettagli

Flusso di progetto circuiti digitali

Flusso di progetto circuiti digitali Flusso di progetto circuiti digitali (anni 80/90) 1) Definizione Algoritmica : y=-x; 2) Schematic Entry 3) Custom Layout (place & route) 4) Parasitic extraction & Backannotation Il Design Productivity

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Architettura dei Field- Programmable Gate Array

Architettura dei Field- Programmable Gate Array Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Field-Programmable Gate Arrays A.a. 2004-2005 Architettura dei Field- Programmable Gate Array Un FPGA è un circuito integrato

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Giancarlo Rini (rini) FPGA. 29 September 2011

Giancarlo Rini (rini) FPGA. 29 September 2011 Giancarlo Rini (rini) FPGA 29 September 2011 Con questa articolo si vuole dare una breve descrizione dell'architettura interna di una FPGA. Cercare di capire quali sono i pregi e i difetti di questo strumento

Dettagli

Logiche programmabili

Logiche programmabili Appendice C Logiche programmabili C.1 Sommario Dopo aver elencato le diverse tipologie di logiche programmabili evidenziandone i principali pregi e difetti si passa ad una descrizione particolareggiata

Dettagli

Reti logiche A All. Informatici (M-Z)

Reti logiche A All. Informatici (M-Z) Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni Progetto di sistemi elettronici LA - esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni copie dei lucidi presentati a lezione breve guida all utilizzo di QUARTUS codici VHDL sommatore a 4

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

Elettronica dei Sistemi Digitali L-A

Elettronica dei Sistemi Digitali L-A Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Progettazione di Circuiti Digitali A.a. 2004-2005 Implementation Choices Digital Circuit Implementation Approaches Custom Semicustom

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

Chapter 6 Selected Design Topics

Chapter 6 Selected Design Topics Logic and Computer Design Fundamentals Chapter 6 Selected Design Topics Part 4 Programmable Implementation Technologies Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

VHDL come strumento di progetto di circuiti digitali. Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA

VHDL come strumento di progetto di circuiti digitali. Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA VHDL come strumento di progetto di circuiti digitali Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 fcampi@deis.unibo.it Tel. Interno 93834 Centro ARCES, Viale Pepoli 3/2 http://www.micro.deis.unibo.it/cgi

Dettagli

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Circuiti combinatori e sequenziali.

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Circuiti combinatori e sequenziali. Corso di Architettura degli Elaboratori Il livello logico digitale: Memoria Clock: un circuito che emette una serie di impulsi con una specifica larghezza e intermittenza Tempo di ciclo di clock: intervallo

Dettagli

Prima esercitazione. a.a

Prima esercitazione. a.a Prima esercitazione Progetto e simulazione di una semplice rete combinatoria Obiettivi Eseguire tutti i passi del flusso di progettazione Analizzare la struttura di un file.vhd Analizzare i costrutti principali

Dettagli

ETLC2 - A2 03/05/2007

ETLC2 - A2 03/05/2007 Politecnico di Torino Facoltà dell Informazione Piastra EPLD DE2 Modulo Elettronica delle telecomunicazioni II Materiale di laboratorio A2 Piastra DE2 e CIAOradio» Struttura» Componenti» Tools di sviluppo»

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009 VHDL - Esempi Martedì 13 Gennaio 2009 Processi Un process è un'istruzione concorrente che contiene un'area sequenziale. Un processo viene eseguito parallelamente alle altre istruzioni concorrenti. L'esecuzione

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione Indice Introduzione I VII Capitolo 1 Circuiti integrati digitali 1.0 Introduzione 1 1.1 Processo di integrazione CMOS 2 1.2 Caratteristiche elettriche dei materiali 11 1.2.1 Resistenza 11 1.2.1.1 Contatti

Dettagli

ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300

ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300 ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300 A.Bonomo, F.Campi Descrizione funzionale delle MAX3000 L architettura MAX3000A include i seguenti elementi: LAB (Blocchi di Array logici); Macrocelle; Expander

Dettagli

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Tipi di memorie Possono essere classificate in base a varie caratteristiche:

Dettagli

REALIZZAZIONE DI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI. E. Del Re - Fondamenti di Elaborazione Numerica dei Segnali 1

REALIZZAZIONE DI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI. E. Del Re - Fondamenti di Elaborazione Numerica dei Segnali 1 REALIZZAZIONE DI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI E. Del Re - Fondamenti di Elaborazione Numerica dei Segnali 1 CARATTERISTICHE DEGLI ALGORITMI E DEI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

Elettronica dei Sistemi Digitali Dispositivi logici programmabili

Elettronica dei Sistemi Digitali Dispositivi logici programmabili Elettronica dei Sistemi Digitali Dispositivi logici programmabili Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 6013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

FPGA: Introduzione. Dispositivi Programmabili. FPGA - Flessibilità e prestazioni. FPGA - Caratteristiche

FPGA: Introduzione. Dispositivi Programmabili. FPGA - Flessibilità e prestazioni. FPGA - Caratteristiche FPGA: Introduzione Dispositivi Programmabili FPGA (Field( Programmable Gate Arrays) Introduzione Famiglie di FPGA: architetture e tipi di blocchi I blocchi logici di base Flusso di progetto e technology

Dettagli

LOGICHE PROGRAMMABILI: PREFAZIONE

LOGICHE PROGRAMMABILI: PREFAZIONE LOGICHE PROGRAMMABILI: PREFAZIONE 1. INTRODUZIONE Diverse aree dell industria elettronica stanno ponendo sempre più interesse alle logiche programmabili. Le logiche programmabili ad alta densità offrono

Dettagli

Dispositivi riconfigurabili. Reti Logiche T Ingegneria Informatica

Dispositivi riconfigurabili. Reti Logiche T Ingegneria Informatica Dispositivi riconfigurabili Reti Logiche T Ingegneria Informatica 1 Dispositivi riconfigurabili Field Programmable Gate Array (FPGA), dispositivi programmabili/configurabili mediante linguaggi di programmazione

Dettagli

Clock. Corso di Architettura degli Elaboratori. Latch di tipo SR. Circuiti combinatori e sequenziali. Il livello logico digitale: Memoria

Clock. Corso di Architettura degli Elaboratori. Latch di tipo SR. Circuiti combinatori e sequenziali. Il livello logico digitale: Memoria Corso di Architettura degli Elaboratori Il livello logico digitale: Memoria Matteo Baldoni Dipartimento di Informatica Università degli Studi di Torino C.so Svizzera, 85 I-49 Torino baldoni@di.unito.it

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be

A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be Docenti Disciplina Cinzia Brunetto, Antonino Cacopardo SAE Sistemi Automatici Elettronici Competenze disciplinari di riferimento Il percorso formativo

Dettagli

Gate Arrays. Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1

Gate Arrays. Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1 Gate Arrays Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1 Circuti integrati Un insieme di porte logiche costruite

Dettagli

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio. VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A

Dettagli

Settimana n.2. Obiettivi Esecuzione di un programma. Tutorial su CodeBlocks e ambiente di sviluppo.

Settimana n.2. Obiettivi Esecuzione di un programma. Tutorial su CodeBlocks e ambiente di sviluppo. Settimana n.2 Obiettivi Esecuzione di un programma. Tutorial su CodeBlocks e ambiente di sviluppo. Contenuti Linguaggi di programmazione Dati e istruzioni Architettura di un elaboratore Uso del compilatore

Dettagli

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Latch di tipo SR sincronizzato. Latch di tipo SR

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Latch di tipo SR sincronizzato. Latch di tipo SR Corso di Architettura degli Elaboratori Il livello logico digitale: Memoria Dipartimento di Informatica Università degli Studi di Torino C.so Svizzera, 185 I-10149 Torino baldoni@di.unito.it http://www.di.unito.it/

Dettagli

Misure e Sistemi Microelettronici (MSM) Sistemi

Misure e Sistemi Microelettronici (MSM) Sistemi Misure e Sistemi Microelettronici (MSM) Sistemi Prof. Stefano Bertazzoni I semestre II emisemestre dal 24-11-08 al 29-01-09 Lunedì ore 13.30 15.45 Giovedì ore 14.00 16.15 Aula 4 NE Aula 2 NE Ricevimento

Dettagli

Sistemi Embedded. Sommario

Sistemi Embedded. Sommario Sistemi Embedded Tecnologie hardware Ing. Luigi Pomante Università dell Aquila DEWS luigi.pomante@univaq.it Tecnologie hardware Tecnologie (AS)IC Full custom Standard cell Gate array Tecnologie programmabili

Dettagli

VHDL come strumento di progetto di circuiti digitali

VHDL come strumento di progetto di circuiti digitali VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 1 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena Fabio Campi Aa 2003-2004 Elettronica dei Sistemi Digitali Fabio Campi, fcampi@deis.unibo.it (con parsimonia ) 051/2093834 http://www.micro.deis.unibo.it/~campi/esd_2004

Dettagli

Corso di Reti Logiche A

Corso di Reti Logiche A Politecnico di Milano Reti Logiche A Corso di Reti Logiche A Introduzione al VHDL - Una prima presentazione - VHSIC-HDL HDL Very High Speed Integrated Circuit - Hardware Description Language Marco D. Santambrogio:

Dettagli

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP Ingegneria e Tecnologie dei Sistemi di Controllo Unità di Elaborazione: MicroControllori e DSP Ing. Andrea Tilli DEIS Alma Mater Studiorum Università di Bologna E-Mail: atilli@deis.unibo.it Revisionato:

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Sistemi dedicati per applicazioni di calcolo. Alessandro Marongiu ENEA - INFO

Sistemi dedicati per applicazioni di calcolo. Alessandro Marongiu ENEA - INFO Sistemi dedicati per applicazioni di calcolo Alessandro Marongiu ENEA - INFO Outline Applicazioni Architetture Convenzionali Architetture dedicate Parametri tecnico/economici Hardware/Software co-design

Dettagli

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA )

SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA ) SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA 2005-06) Lezione A0: Introduzione Organizzazione del modulo, obiettivi, materiale didattico, Scomposizione di un sistema complesso in moduli funzionali, Diversi

Dettagli

Parte 2.c. Elaborazione: Hardware dedicato

Parte 2.c. Elaborazione: Hardware dedicato Parte 2.c Elaborazione: Hardware dedicato Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 1 Introduzione [DeMicheli-c1] 1.1 Generalità Motivazioni: Ottimizzazione delle prestazioni Riduzione

Dettagli

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a 1 Terza esercitazione Progetto di una rete di controllo Obiettivi Progetto e analisi di macchine a stati finiti 2 Macchina a Stati Finiti (FSM) Z(n)=f(x(n)) => Sistema Combinatorio Z(n)=f(x(n),x(n-1),x(n-2),..)

Dettagli

Elettronica dei Sistemi Digitali L-A 2005/06

Elettronica dei Sistemi Digitali L-A 2005/06 L-A 2005/06 Aldo Romani, aromani@deis.unibo.it tel. 051 209 3811 (int. 93811) http://www.micro.deis.unibo.it/~romani/esd2005 Ricevimento Centro ARCES, Bologna, Viale Pepoli 3/2 (5 Min a piedi dalla facolta

Dettagli

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is Struttura file.vhd. library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; link a librerie e package entity nome_del_modulo is port ( term,term2 : in std_logic; term3,term4 : out std_logic)

Dettagli

Ingegneria dell Informazione D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI

Ingegneria dell Informazione D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI D4- Metodi di progetto digitale :» Sintesi di un filtro FIR, soluzioni SW e HW» Valutazione dei parametri

Dettagli

Design for Testability (DFT): Scan

Design for Testability (DFT): Scan Design for Testability (DFT): Full-Scan Definizioni Metodi ad-hoc Scan design Regole di progetto Registri Flip-flops Scan test sequences Overhead Sistemi di progetto basati sulla scansione Sommario 1 Definizioni

Dettagli

Livello logico digitale bus e memorie

Livello logico digitale bus e memorie Livello logico digitale bus e memorie Principali tipi di memoria Memoria RAM Memorie ROM RAM (Random Access Memory) SRAM (Static RAM) Basata su FF (4 o 6 transistor MOS) Veloce, costosa, bassa densità

Dettagli

F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI

F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI F4- Metodi di progetto digitale :» Sintesi di un filtro FIR, soluzioni SW e HW» Valutazione dei parametri

Dettagli

Scopo del gruppo di lezioni

Scopo del gruppo di lezioni 0Sep02 Scopo del gruppo di lezioni Si vuole mostrare come uno stesso problema possa essere risolto in molti modi diversi tra loro. L ingegnere deve esaminare tutte le possibili soluzioni (che conosce)

Dettagli