Parte 2.c. Elaborazione: Hardware dedicato

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Parte 2.c. Elaborazione: Hardware dedicato"

Transcript

1 Parte 2.c Elaborazione: Hardware dedicato Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 1

2 Introduzione [DeMicheli-c1] 1.1 Generalità Motivazioni: Ottimizzazione delle prestazioni Riduzione dei costi (area, potenza, energia, prezzo) Considerazioni economiche Costi di progetto elevati Nessuna flessibilità Mercato ridotto Descrizione Funzionale vs Strutturale Eseguibile vs Non eseguibile Livelli di astrazione: comportamentale register transfer level (RTL) gate level circuitale layout Stili di progetto top-down bottom-up library-based 1.2 Finite State Machine (FSM) Specifica di: I insieme delle configurazioni d'ingresso O insieme delle configurazioni d'uscita S insieme degli stati (finito) f funzione d'uscita f : SxI -> O g funzione di stato futuro g : SxI -> S Macchina sincrona: transizioni di stato sincronizzate al fronte di un clock (implicito nella descrizione). Lo stato futuro diventa presente al ciclo di clock successivo. Rappresentazioni: Rappresentazione delle funzioni f e g Espressione logica Tabella della verità Grafo di transizione di stato Nodi: stati Archi orientati: transizioni Ad ogni arco sono associate le condizioni d'ingresso che ne determinano la percorrenza I valori delle uscite sono associati ai nodi (se non dipendono direttamente dagli ingressi) o agli archi 1.3 Data Flow Graph (DFG) Grafo orientato Nodi: operazioni Archi: dipendenze tra i dati Es: filtro FIR algoritmo 1 input x0, x1, x2, x3; input c0, c1, c2, c3; output y; p0 = x0*c0; -- op0 p1 = x1*c1; -- op1 p2 = x2*c2; -- op2 p3 = x3*c3; -- op3 s1 = p0+p1; -- op4 s2 = p2*p3; -- op5 y = s1+p2; -- op6 Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 2

3 Es: filtro FIR algoritmo 2 input x0, x1, x2, x3; input c0, c1, c2, c3; output y; p0 = x0*c0; -- op0 p1 = x1*c1; -- op1 s1 = p0+p1; -- op2 p2 = x2*c2; -- op3 s2 = s1+p2; -- op4 p3 = x3*c3; -- op5 y = s2+p3; -- op6 1.4 Control Data Flow Graph (CDFG) Grafo orientato Nodi: operazioni Archi funzionali: dipendenze tra i dati Archi di controllo: dipendenze di controllo di flusso Es: GCD input x, y; output out, datavalid; datavalid = 0; while (x!= y) { if (x>y) x = x-y; else y = y-x; } out = x; datavalid = 1; 1.5 Hardware Description Languages (HDL) Descrizione funzionale Processi concorrenti Descrizione strutturale Moduli interconnessi (netlist) 2 Progetto ad alto livello [DeMicheli-c4.1-4] 2.1 Specifica Funzionalità: Algoritmo CDFG Sincronizzazione con il workload (es: GCD) Area: Massima area totale Risorse disponibili (allocation constraints) Prestazioni (timing constraints): clock cycle massimo numero di clock cycles per iterazione (c-steps) Potenza/Energia potenza/energia media per iterazione 2.2 Template architetturale Data path Unità funzionali e registri interconnessi (RTL netlist) Controller Macchina a stati finiti Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 3

4 2.3 Gradi di libertà Nell ipotesi di resource-dominated design (secondo cui i parametri di progetto dipendono prevalentemente dalle risorse impiegate): Resource assignment scelta del tipo di risorsa da dedicare all esecuzione di ogni operazione Scheduling scelta del clock cycle in cui eseguire ogni operazione Binding scelta della risorsa da dedicare all esecuzione di ogni operazione Ulteriori passi del progetto: Assegnamento dei registri e loro condivisione (register sharing) Progetto della steering logic (multiplexers) Progetto del controllore 2.4 Alternative implementative Data chaining (risorse in cascata senza registri intermedi) Resource sharing (risorse condivise da più operazioni) Pipelining Register sharing (registri condivisi da più variabili) 2.5 Es: filtro FIR Allocation constraints: 4 multipliers, 3 adders Timing constraints: minimum clock cycle Il primo algoritmo richiede 3 c-steps, il secondo ne richiede 4. Nessuno sharing di risorse, nessun caso di data-chaining, possibilità di utilizzo in pipelining. Il primo algoritmo conduce ad una soluzione migliore del secondo. Allocation constraints: 4 multipliers, 3 adders Timing constraints: 1 c-step Il primo algoritmo richiede un clock cycle Tclk > Tmul+2Tadd, il secondo Tclk > Tmul+3Tclk Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 4

5 Nessuno sharing, data-chaining (profondità 3 e 4 rispettivamente), impossibilità di utilizzo in pipelining. Il primo algoritmo conduce ad una soluzione migliore del secondo. Allocation constraints: 4 multipliers, 3 adders Timing constraints: 2 c-steps Il primo algoritmo richiede un clock cycle Tclk > max{tmul, 2Tadd}, il secondo con la prima soluzione di scheduling Tclk > Tmul+Tadd, con la seconda soluzione di scheduling Tclk > max{tmul, 3Tadd} Nessuno sharing, data chaining, no pipelining. Allocation constraints: 2 multipliers, 2 adders Timing constraints: massima performance Entrambi gli algoritmi richiedono 3 c-steps, con Tclk > max{tmul, 2Tadd} Resource sharing, data chaining, no pipelining Allocation constraints: 2 multipliers, 1 adder Timing constraints: massima performance Entrambi gli algoritmi richiedono 4 c-steps, Tclk > max{tmul, Tadd} Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 5

6 Resource sharing, no data chaining, no pipelining Allocation constraints: 1 multiplier, 1 adder Timing constraints: -- Il primo algoritmo richiede 6 c-steps, il secondo 5. Entrambi richiedono Tclk > max{tmul, Tadd} Resource sharing massimo, no data chaining, no pipelining. Il secondo algoritmo conduce ad una soluzione migliore del primo. 3.1 Architetture di macro aritmetiche [WE-pp ] Architetture dedicate Es: addizionatore Single-bit S = A exor B exor Cin Cout = AB + Cin(A+B) Ripple-carry adder Bit-serial adder Pipelined carry-propagate adder Carry-lookahead C(i) = G(i) + P(i) * C(i-1) G(i) = A(i)*B(i) (generate) P(i) = A(i)+B(i) (propagate) S(i) = C(i-1) exor A(i) exor B(i) 3 Progetto di componenti 3.2 Sintesi logica di circuiti combinatori Sintesi a due livelli Mappe di copertura / somme di prodotti Sintesi multilivello / Ottimizzazione logica [DeMicheli-c8.1-3] Reti logiche Trasformazioni Sostituzione (eliminazione di nodi) Decomposizione (aggiunta di nodi) Estrazione (individuazione di sotto-espressioni comuni) Semplificazione (semplificazione logica delle espressioni ai nodi) 3.3 Sintesi e ottimizzazione di macchine a stati [DeMicheli-c9.1] State minimization: Riduzione degli stati Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 6

7 State encoding: Codifica degli stati Specifica delle funzioni di uscita e di stato futuro Sintesi della parte combinatoria 4.1 Cell library Libreria di gate di cui sono specificate: Funzione logica a singola uscita Area Ritardo Consumo 4 Technology mapping [DeMicheli-c10.1-2] 4.2 Binding Assegnamento di un gate (cell) ad ogni nodo (o insieme di nodi) di una rete logica Structural matching Boolean matching Polarity assignment 5 Progetto circuitale 5.1 Progetto di celle/gates Componenti di una libreria per uno stile di porgetto bottom-up 5.2 Progetto di sottocircuiti specifici Componenti di un progetto per uno stile top-down 6 Layout 6.1 Scelta del processo Dimensione minima Numero di maschere Numero di livelli Regole di layout Tipi di dispositivi disponibili (MOSFET, BJT, EEPROM, SRAM, DRAM,...) 6.2 Maschere Front-end del processo: Silicio attivo Back-end del processo: Interconnessioni, piste e contatti 7 Stili di progetto [DeMicheli-c1.1-4] 7.1 Full custom Progetto specifico ad ogni livello Costi elevati Maggiori gradi di libertà Maggiori opportunità di ottimizzazione Es: microprocessori, cicli più interni di un'elaborazione 7.2 Semi custom Progetto orientato al riuso di componenti già progettati e alla standardizzazione di alcune fasi dei processi Gradi di libertà limitati Minori opportunità di ottimizzazione Costi minori Cell-based (riuso di componenti già progettati) Standard cells (Hard macros) Celle pre-progettate e già mappate sul silicio, con dimensioni e forma standard Macro cells (Soft macros) Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 7

8 Celle parametriche progettate automaticamente da generatori di blocchi Maggiori gradi di libertà Maggiore portabilità attraverso tecnologie diverse Maggiore incertezza sui parametri del progetto Array-based (standardizzazione di alcune fasi del processo) Pre-diffused (Mask programmable) Gate arrays Sea of gates Pre-wired (Field programmable) Field-programmable gate arrays (FPGA) 8.1 Area Somma dell'area associata ai componenti Incognita: placement and routing 8 Stima dei parametri del progetto 8.2 Ritardo Individuazione del cammino critico Somma dei tempi di propagazione lungo il cammino critico Incognita: wiring 8.3 Consumo Stima indicativa: Somma del consumo medio dei componenti Stima accurata: Modelli dipendenti dalle condizioni operative Incognite: Wiring, attività dei componenti 8.4 Modellistica e stima (macromodeling) Modelli analitici top-down Modelli empirici bottom-up Estimatori statici Estimatori dinamici utilizzano simulazione funzionale per tener conto della dipendenza dei parametri di progetto dall attività del circuito generalmente più accurati e meno efficienti 8.5 Problemi aperti La stima di parametri basata sull uso di macromodelli si basa sull ipotesi implicita di resourcedominated design, cioè di progetti in cui le risorse diano il contributo fondamentale ai parametri di progetto, rendendo trascurabile il contributo di interconnessioni e logica sparsa. Questa ipotesi diventa sempre più irrealistica con lo scaling, che tende ad aumentare l importanza relativa delle interconnessioni. Non esistono strumenti di stima ad alto livello in grado di tener conto degli effetti delle interconnessioni sui parametri del progetto, nè tanto meno di prevedere che impatto avranno sulle interconnessioni le scelte progettuali ad alto livello. Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 8

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

Minimizzazione di Reti Logiche Combinatorie Multi-livello. livello

Minimizzazione di Reti Logiche Combinatorie Multi-livello. livello Minimizzazione di Reti Logiche Combinatorie Multi-livello livello Maurizio Palesi Maurizio Palesi 1 Introduzione I circuiti logici combinatori sono molto spesso realizzati come reti multi-livello di porte

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Minimizzazione a più livelli di reti combinatorie Cristina Silvano

Minimizzazione a più livelli di reti combinatorie Cristina Silvano Minimizzazione a più livelli di reti combinatorie Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Milano (Italy) Sommario Modello booleano e modello algebrico

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Minimizzazione di Reti Logiche Combinatorie Multi-livello

Minimizzazione di Reti Logiche Combinatorie Multi-livello Minimizzazione di Reti Logiche Combinatorie Multi-livello Maurizio Palesi Maurizio Palesi 1 Introduzione I circuiti logici combinatori sono molto spesso realizzati come reti multi-livello di porte logiche

Dettagli

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Architetture Digitali

Architetture Digitali Corso di Lezione 3 Sintesi RTL Federico Pedersini Laboratorio di (DALab) Dipartimento di Scienze dell Informazione Università degli Studi di Milano Riferimenti bibliografici: [1] F. Fummi, M. Sami, C.

Dettagli

Elettronica dei Sistemi Digitali L-A

Elettronica dei Sistemi Digitali L-A Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Progettazione di Circuiti Digitali A.a. 2004-2005 Implementation Choices Digital Circuit Implementation Approaches Custom Semicustom

Dettagli

Lezione 7 Sommatori e Moltiplicatori

Lezione 7 Sommatori e Moltiplicatori Architettura degli Elaboratori e delle Reti Lezione 7 Sommatori e Moltiplicatori Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 7 /36 Sommario

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI CORSO DI ELETTRONICA DEI SISTEMI DIGITALI Capitolo 1 Porte logiche in tecnologia CMOS 1.0 Introduzione 1 1.1 Caratteristiche elettriche statiche di un transistore MOS 2 1.1.1 Simboli circuitali per un

Dettagli

Minimizzazione di circuiti combinatori multilivello

Minimizzazione di circuiti combinatori multilivello LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 5 Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 007-008 Minimizzazione di circuiti combinatori multilivello

Dettagli

Lezione 7 ALU: Moltiplicazione e divisione

Lezione 7 ALU: Moltiplicazione e divisione Architettura degli Elaboratori e delle Reti Lezione 7 ALU: Moltiplicazione e divisione F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 7 1/34 Sommario! Sommatori

Dettagli

Introduzione a Electronic Design Automation. Tecnologie. Circuiti integrati digitali. Sommario. M. Favalli. Tecnologia planare del silicio

Introduzione a Electronic Design Automation. Tecnologie. Circuiti integrati digitali. Sommario. M. Favalli. Tecnologia planare del silicio Sommario Introduzione a Electronic Design Automation 1 M. Favalli Engineering Department in Ferrara 2 (ENDIF) Introduzione a EDA PASD 1 / 36 Circuiti integrati digitali Tecnologie (ENDIF) Introduzione

Dettagli

I Indice. Prefazione. Capitolo 1 Introduzione 1

I Indice. Prefazione. Capitolo 1 Introduzione 1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione 7 2.1 Algebra di Boole.......................... 7 2.1.1 Proprietà dell algebra.................... 9 2.2

Dettagli

Design for Testability (DFT): Scan

Design for Testability (DFT): Scan Design for Testability (DFT): Full-Scan Definizioni Metodi ad-hoc Scan design Regole di progetto Registri Flip-flops Scan test sequences Overhead Sistemi di progetto basati sulla scansione Sommario 1 Definizioni

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico ISTITUTO TECNICO STATALE MARCHI FORTI Viale Guglielmo Marconi n 16-51017 PESCIA (PT) - ITALIA PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico Docente PARROTTA GIOVANNI

Dettagli

Progettazione Digitale: Modellazione dei ritardi e mapping tecnologico

Progettazione Digitale: Modellazione dei ritardi e mapping tecnologico Progettazione Digitale: Modellazione dei ritardi e mapping tecnologico Prof. Antonino Mazzeo A cura di: Ph.D., Ing. Alessandra De Benedictis, alessandra.debenedictis@unina.it Testi di riferimento: Franco

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Università degli Studi del Sannio. Facoltà di Ingegneria

Università degli Studi del Sannio. Facoltà di Ingegneria - Impressionante crescita in complessità degli attuali IC digitali - Progesso tecnologico più veloce di capacità umana di progettazione - Necessità di strumenti CAD e di ben definite metodologie di progetto

Dettagli

Negli ultimi anni il mercato è

Negli ultimi anni il mercato è APPLICAZIONI DSP NELLE FPGA VIRTEX-4 Mariano Severi I dispositivi FPGA Xilinx della serie Virtex-4 consentono di realizzare sistemi DSP a elevate prestazioni grazie all utilizzo dei moduli hardware XtremeDSP

Dettagli

Minimizzazione di Reti Logiche Combinatorie Multi-livello

Minimizzazione di Reti Logiche Combinatorie Multi-livello Minimizzazione di Reti Logiche Combinatorie Multi-livello Maurizio Palesi Maurizio Palesi 1 Introduzione Obiettivo della sintesi logica: ottimizzazione delle cifre di merito area e prestazioni Prestazioni:

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Livello logico digitale

Livello logico digitale Livello logico digitale circuiti combinatori di base e circuiti sequenziali Half Adder - Semisommatore Ingresso 2 bit, uscita 2 bit A+ B= ------ C S C=AB S=AB + AB=A B A B In Out HA A B C S S HA A C S

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

(b) LOGIC SYNTHESIS DESIGN FLOW

(b) LOGIC SYNTHESIS DESIGN FLOW 1 (b) LOGIC SYNTHESIS DESIGN FLOW ASIC HDL DIGITAL CIRCUITS DESIGN FLOW FPGA HDL DESIGN FLOW SINTESI DI TENTATIVO E SIMULAZIONE POST SINTESI DEL PROCESSORE MU0 OTTIMIZZAZIONE DELLA SINTESI DEL PROCESSORE

Dettagli

Architetture aritmetiche

Architetture aritmetiche Architetture aritmetiche Sommatori: : Full Adder, Ripple Carry Sommatori: Carry Look-Ahead Ahead, Carry Save, Add/Subtract Moltiplicatori: Combinatori, Wallace,, Sequenziali Circuiti per aritmetica in

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Introduzione alla programmazione

Introduzione alla programmazione Introduzione alla programmazione Risolvere un problema Per risolvere un problema si procede innanzitutto all individuazione Delle informazioni, dei dati noti Dei risultati desiderati Il secondo passo consiste

Dettagli

Esercitazione di laboratorio n. 2

Esercitazione di laboratorio n. 2 Esercitazione di laboratorio n. 2 Argomento dell esercitazione Progetto di circuiti combinatori. L esercitazione è composta di tre esercizi: progetto di un Full Adder da 1 bit (esercizio 1), e suo riutilizzo

Dettagli

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Il problema dell assegnamento degli stati versione del 9/1/03 Sintesi: Assegnamento degli stati La riduzione del numero

Dettagli

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone di Macchine Senza Processo di Ottimizzate a Livello Comportamentale Sintesi comportamentale e architettura generale Diagramma

Dettagli

Addizionatori: metodo Carry-Lookahead. Costruzione di circuiti combinatori. Standard IEEE754

Addizionatori: metodo Carry-Lookahead. Costruzione di circuiti combinatori. Standard IEEE754 Addizionatori: metodo Carry-Lookahead Costruzione di circuiti combinatori Standard IEEE754 Addizionatori Il circuito combinatorio che implementa l addizionatore a n bit si basa su 1-bit adder collegati

Dettagli

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena Fabio Campi Aa 2003-2004 Elettronica dei Sistemi Digitali Fabio Campi, fcampi@deis.unibo.it (con parsimonia ) 051/2093834 http://www.micro.deis.unibo.it/~campi/esd_2004

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti Architettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Automa a Stati Finiti (ASF)

Automa a Stati Finiti (ASF) Automa a Stati Finiti (ASF) E una prima astrazione di macchina dotata di memoria che esegue algoritmi Introduce il concetto fondamentale di STATO che informalmente può essere definito come una particolare

Dettagli

Prestazioni dinamiche dei circuiti digitali

Prestazioni dinamiche dei circuiti digitali Prestazioni dinamiche dei circuiti digitali Michele Favalli Universita' di Ferrara Introduzione Prestazioni dinamiche di un circuito digitale Parametri da cui dipendono le prestazioni Analisi Sintesi Linguaggi

Dettagli

Unità Aritmetico-Logica

Unità Aritmetico-Logica Unità Aritmetico-Logica A ritmethic L ogic U nit E l unità che esegue le operazioni aritmetiche e le operazioni logiche AND e OR 1-bit ALU : è una componente dell ALU che produce un singolo bit sui 32

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Architettura degli Elaboratori Università degli Studi di Padova Facoltà di Scienze MM.FF.NN. Corso di Laurea in Informatica docente: Alessandro Sperduti Informazioni Generali Lucidi ed esercizi disponibili

Dettagli

Architetture BIST. Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan. Inizializzazione del circuito hardware

Architetture BIST. Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan. Inizializzazione del circuito hardware Architetture BIST Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan Circular self-test path (CSTP) BIST Inizializzazione del circuito Loop-back hardware Inserimento di punti

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Circuiti sequenziali e latch

Circuiti sequenziali e latch Circuiti sequenziali e latch Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano A.A. 23-24 /27 Sommario Circuiti sequenziali Latch asincroni

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

$GGL]LRQDWRULPHWRGR &DUU\/RRNDKHDG

$GGL]LRQDWRULPHWRGR &DUU\/RRNDKHDG $GGL]LRQDWRULPHWRGR &DUU\/RRNDKHDG Salvatore Orlando & Marta Simeoni Arch. Elab. - S. Orlando 1 $GGL]LRQDWRUL Il circuito combinatorio che implementa l addizionatore a n bit è costruito collegando in sequenza

Dettagli

Studio degli algoritmi

Studio degli algoritmi COMPLESSITÀ COMPUTAZIONALE DEGLI ALGORITMI Fondamenti di Informatica a.a.2006/07 Prof. V.L. Plantamura Dott.ssa A. Angelini Studio degli algoritmi Dato un problema P, le problematiche riguardano: Sintesi

Dettagli

Reti logiche (2) Circuiti sequenziali

Reti logiche (2) Circuiti sequenziali Reti logiche (2) Circuiti sequenziali 1 Un ripasso Algebra booleana: operatori, postulati, identità, operatori funzionalmente completi Circuiti combinatori: tabelle di verità, porte logiche Decodificatore

Dettagli

Indice generale Introduzione...vii Parte I Concetti e costrutti fondamentali... 1 Capitolo 1 Introduzione al linguaggio... 3

Indice generale Introduzione...vii Parte I Concetti e costrutti fondamentali... 1 Capitolo 1 Introduzione al linguaggio... 3 Introduzione...vii Organizzazione del libro... viii Struttura del libro e convenzioni... ix Codice sorgente e progetti... x Compilare ed eseguire direttamente i listati e gli snippet di codice... x Compilare

Dettagli

Verifica parte IIB. Grafo di controllo. Criteri di selezione per test strutturali (white box) Test. Rif. Ghezzi et al

Verifica parte IIB. Grafo di controllo. Criteri di selezione per test strutturali (white box) Test. Rif. Ghezzi et al Verifica parte IIB Rif. Ghezzi et al. 6.3.4.1 Test In piccolo White box Black box Condizioni di confine Problema dell' Oracolo In grande Test di modulo Test di integrazione Test di Sistema Test di Accettazione

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

Microelettronica. Introduzione al progetto di un microprocessore. Stefano Salvatori. Università degli Studi Roma Tre.

Microelettronica. Introduzione al progetto di un microprocessore. Stefano Salvatori. Università degli Studi Roma Tre. Microelettronica Introduzione al progetto di un microprocessore Stefano Salvatori (salvator@uniroma3.it) Microelettronica 2012 Sommario up minimo: Formato delle istruzioni Tipi di istruzione Percentuale

Dettagli

UNIVERSITÀ DEGLI STUDI DI TRIESTE

UNIVERSITÀ DEGLI STUDI DI TRIESTE UNIVERSITÀ DEGLI STUDI DI TRIESTE Facoltà di Ingegneria Corso di Laurea Triennale in Ingegneria dell Informazione Curriculum Elettronica Relatore: Professore Boscolo Antonio Laureanda: Giovanna Bernardi

Dettagli

Programmazione I. Fondamenti di programmazione. Problemi, Algoritmi, Diagrammi di flusso

Programmazione I. Fondamenti di programmazione. Problemi, Algoritmi, Diagrammi di flusso Programmazione I Fondamenti di programmazione ( Lezione I ) Problemi, Algoritmi, Diagrammi di flusso Fabrizio Messina messina@dmi.unict.it www.dmi.unict.it/~messina Algoritmo Dato un problema, un algoritmo

Dettagli

Progetto di Circuiti Aritmetici

Progetto di Circuiti Aritmetici Progetto di Circuiti Aritmetici Maurizio Palesi Maurizio Palesi 1 Introduzione Caratteristiche principali di valutazione Velocità Valutata per il caso peggiore Costo Precisione Es., operazioni in virgola

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

Arithmetic and Logic Unit e moltiplicatore

Arithmetic and Logic Unit e moltiplicatore Arithmetic and Logic Unit e moltiplicatore M. Favalli Engineering Department in Ferrara (ENDIF) ALU - multiplier Analisiesintesideicircuitidigitali 1 / 34 Sommario 1 Arithmetic and Logic Unit - ALU 2 Moltiplicatore

Dettagli

1) Codici convoluzionali. 2) Circuito codificatore. 3) Diagramma a stati e a traliccio. 4) Distanza libera. 5) Algoritmo di Viterbi

1) Codici convoluzionali. 2) Circuito codificatore. 3) Diagramma a stati e a traliccio. 4) Distanza libera. 5) Algoritmo di Viterbi Argomenti della Lezione 1) Codici convoluzionali 2) Circuito codificatore 3) Diagramma a stati e a traliccio 4) Distanza libera 5) Algoritmo di Viterbi 1 Codici convoluzionali I codici convoluzionali sono

Dettagli

MATERIALI PER LA DISCUSSIONE

MATERIALI PER LA DISCUSSIONE SETTORE TECNOLOGICO MATERIALI PER LA DISCUSSIONE ISTITUTO TECNICO INDIRIZZO ARTICOLAZIONE TELECOMUNICAZIONI INFORMATICA E TELECOMUNICAZIONI ESITI DI APPRENDIMENTO Regolamento, Art. 5 comma 1 Nota: Le Competenze,

Dettagli

Il livello logico digitale

Il livello logico digitale Il livello logico digitale porte logiche e moduli combinatori Algebra di commutazione Algebra booleana per un insieme di due valori Insieme di elementi A={,} Operazioni NOT (operatore unario) => = e =

Dettagli

CALCOLATORI ELETTRONICI

CALCOLATORI ELETTRONICI DIPARTIMENTO DI INGEGNERIA ELETTRICA ELETTRONICA E INFORMATICA Corso di laurea in Ingegneria informatica Anno accademico 2016/2017-3 anno CALCOLATORI ELETTRONICI 9 CFU - 1 semestre Docente titolare dell'insegnamento

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo: Sezione C.3;

Dettagli

Calcolatori Elettronici Gli Automi

Calcolatori Elettronici Gli Automi Calcolatori Elettronici Gli Automi Prof. Emiliano Casalicchio Agenda Automi fini: Metodi e modelli di descrizione Sintesi (Cenni) Ridondanza ed equivalenza (cenni) Modelli fisici re: sincrone Realizzazione

Dettagli

Flip-flop, registri, la macchina a stati finiti

Flip-flop, registri, la macchina a stati finiti Architettura degli Elaboratori e delle Reti Lezione 9 Flip-flop, registri, la macchina a stati finiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell nformazione Università degli Studi di

Dettagli

Tecniche di Progettazione Digitale. Reti combinatorie: Le mappe di Karnaugh

Tecniche di Progettazione Digitale. Reti combinatorie: Le mappe di Karnaugh Tecniche di Progettazione Digitale Reti cominatorie: Le mappe di Karnaugh Valentino Lierali Mappe di Karnaugh (1) Una unzione ooleana di n it ha come dominio l insieme costituito da tutte le possiili n-ple

Dettagli

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie apitolo 6 Reti asincrone Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso determina: una

Dettagli

Sintesi di Reti Sequenziali Sincrone

Sintesi di Reti Sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Maurizio Palesi Maurizio Palesi 1 Macchina Sequenziale Una macchina sequenziale è definita dalla quintupla (I,U,S,δ,λ ) dove: I è l insieme finito dei simboli d ingresso

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Sintesi di reti logiche multilivello. Sommario. Motivazioni. Sommario. M. Favalli

Sintesi di reti logiche multilivello. Sommario. Motivazioni. Sommario. M. Favalli Sommario Sintesi di reti logiche multilivello M. Favalli Engineering Department in Ferrara 1 2 3 Aspetti tecnologici Sommario (ENDIF) Reti logiche 1 / 36 Motivazioni (ENDIF) Reti logiche 2 / 36 1 2 3 Aspetti

Dettagli

Università degli studi di Roma Tor Vergata Ingegneria Medica Informatica I Programma del Corso

Università degli studi di Roma Tor Vergata Ingegneria Medica Informatica I Programma del Corso Obiettivi Di seguito vengono riportate una serie di domande che possono essere poste durante la prova formale del corso. Le seguenti domande non sono da ritenersi esaustive ma esemplificative. 1. Architettura

Dettagli

FPGA: Introduzione. Dispositivi Programmabili. FPGA - Flessibilità e prestazioni. FPGA - Caratteristiche

FPGA: Introduzione. Dispositivi Programmabili. FPGA - Flessibilità e prestazioni. FPGA - Caratteristiche FPGA: Introduzione Dispositivi Programmabili FPGA (Field( Programmable Gate Arrays) Introduzione Famiglie di FPGA: architetture e tipi di blocchi I blocchi logici di base Flusso di progetto e technology

Dettagli

Introduzione. Il routing permette la comunicazione tra due nodi differenti anche se non sono collegati direttamente

Introduzione. Il routing permette la comunicazione tra due nodi differenti anche se non sono collegati direttamente Routing Introduzione Il livello 3 della pila ethernet ha il compito di muovere i pacchetti dalla sorgente attraversando più sistemi Il livello di network deve quindi: Scegliere di volta in volta il cammino

Dettagli

INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Processori per sistemi di controllo

INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Processori per sistemi di controllo INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Processori per sistemi di controllo Prof. Carlo Rossi DEIS - Università di Bologna Tel: 051 2093020 email: crossi@deis.unibo.it Classificazione Processori

Dettagli

Sistemi logici complessi

Sistemi logici complessi Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione

Dettagli

Reti Combinatorie: sintesi

Reti Combinatorie: sintesi Reti Combinatorie: sintesi Sintesi di reti combinatorie Una rete combinatoria realizza una funzione di commutazione Data una tabella di verità è possibile ricavare più espressioni equivalenti che la rappresentano.

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Reti Logiche Combinatorie Modulo 4 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Logica combinatoria Un blocco di logica

Dettagli

Indice PARTE A. Prefazione Gli Autori Ringraziamenti dell Editore La storia del C. Capitolo 1 Computer 1. Capitolo 2 Sistemi operativi 21 XVII XXIX

Indice PARTE A. Prefazione Gli Autori Ringraziamenti dell Editore La storia del C. Capitolo 1 Computer 1. Capitolo 2 Sistemi operativi 21 XVII XXIX Indice Prefazione Gli Autori Ringraziamenti dell Editore La storia del C XVII XXIX XXXI XXXIII PARTE A Capitolo 1 Computer 1 1.1 Hardware e software 2 1.2 Processore 3 1.3 Memorie 5 1.4 Periferiche di

Dettagli

COMPLESSITÀ COMPUTAZIONALE DEGLI ALGORITMI

COMPLESSITÀ COMPUTAZIONALE DEGLI ALGORITMI COMPLESSITÀ COMPUTAZIONALE DEGLI ALGORITMI Fondamenti di Informatica a.a.200.2005/06 Prof. V.L. Plantamura Dott.ssa A. Angelini Confronto di algoritmi Uno stesso problema può essere risolto in modi diversi,

Dettagli

Introduzione - Modello. Introduzione - progetto e strumenti

Introduzione - Modello. Introduzione - progetto e strumenti intesi equenziale incrona intesi Comportamentale di reti equenziali incrone di Macchine enza Processo di Ottimizzate a Livello Comportamentale Introduzione intesi comportamentale e architettura generale

Dettagli

IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A

IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A Modulo n 1 - Concetti informatici di base 1.1 Introduzione allo studio del computer 1.2 Rappresentazione

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Aritmetica dei calcolatori. La rappresentazione dei numeri

Aritmetica dei calcolatori. La rappresentazione dei numeri Aritmetica dei calcolatori Rappresentazione dei numeri naturali e relativi Addizione a propagazione di riporto Addizione veloce Addizione con segno Moltiplicazione con segno e algoritmo di Booth Rappresentazione

Dettagli

senza stato una ed una sola

senza stato una ed una sola Reti Combinatorie Un calcolatore è costituito da circuiti digitali (hardware) che provvedono a realizzare fisicamente il calcolo. Tali circuiti digitali possono essere classificati in due classi dette

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Sistemi e modelli. Sistemi

Sistemi e modelli. Sistemi Sistemi e modelli Obbiettivo: sviluppare metodologie e strumenti di analisi quantitativa della QoS di sistemi costruzione e soluzione di modelli per la valutazione di prestazioni e affidabilità di sistemi

Dettagli

Corso di Informatica di Base

Corso di Informatica di Base Corso di Informatica di Base A.A. 2011/2012 Algoritmi e diagrammi di flusso Luca Tornatore Cos è l informatica? Calcolatore: esecutore di ordini o automa Programma: insieme di istruzioni che possono essere

Dettagli

Le operazioni. di somma. e sottrazione

Le operazioni. di somma. e sottrazione Le operazioni di somma e sottrazione S. Salvatori marzo 2016 (36 di 171) L'unità aritmetico-logica La ALU rappresenta l'elemento principale di una CPU quale dispositivo di elaborazione. ALU AI BUS ESTERNI

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Sintesi multilivello

Sintesi multilivello Sintesi multilivello PLA => in alcuni casi danno luogo ad un eccessiva occupazione d area (provare con adder a 4, 8, 16 bit) Logica multilivello => maggior numero di gradi libertà Minore occupazione d

Dettagli

Caratteristiche Area/Ritardo

Caratteristiche Area/Ritardo Caratteristiche Area/Ritardo Maurizio Palesi Maurizio Palesi 1 Motivazioni L ottimizzazione di un circuito comporta normalmente un compromesso tra: Prestazioni (ritardo di propagazione) Area (o costo)

Dettagli

Quarta esercitazione

Quarta esercitazione 1 Quarta esercitazione Soluzione di una prova d esame Obiettivo Fornire una metodologia di progetto scomposizione in blocchi e definzione dei segnali interni diagramma degli stati della FSM scrittura del

Dettagli

Circuiti sincroni Circuiti sequenziali: i bistabili

Circuiti sincroni Circuiti sequenziali: i bistabili Architettura degli Elaboratori e delle Reti Lezione 8 Circuiti sincroni Circuiti sequenziali: i bistabili Proff. A. Borghese, F. Pedersini ipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli