Macchine combinatorie

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Macchine combinatorie"

Transcript

1 Corso di Calcolatori Elettronici I A.A Macchine combinatorie Lezione 10 Università degli Studi di Napoli Federico II Facoltà di Ingegneria

2 Analisi e Sintesi di un sistema 1/2 Per analisi di un sistema si intende l'individuazione delle relazioni di causa/effetto tra i segnali di ingresso e uscita, attraverso l'esame di una rappresentazione schematica dei suoi componenti elementari e dei collegamenti che li interconnettono, ovvero: data la rappresentazione schematica del sistema, individuarne il comportamento. Per sintesi di un sistema si intende l'individuazione dei componenti e delle interconnessioni necessarie per realizzarlo seguendo la preassegnata specifica funzionale: data la specifica funzionale individuarne la struttura.

3 Analisi e Sintesi di un sistema 2/2 Analisi Data la descrizione della STRUTTURA (come è fatta) Sintesi Data la descrizione del COMPORTAMENTO (cosa deve fare) Determinarne il COMPORTAMENTO (cosa fa) Determinarne la STRUTTURA (come è fatta)

4 Tassonomia dei circuiti digitali I circuiti digitali possono essere classificati in due categorie Circuiti combinatori Il valore delle uscite ad un determinato istante dipende unicamente dal valore degli ingressi in quello stesso istante. Circuiti sequenziali Il valore delle uscite in un determinato istante dipende sia dal valore degli ingressi in quell istante sia dal valore degli ingressi in istanti precedenti Per definire il comportamento di un circuito sequenziale è necessario tenere conto della storia passata degli ingressi del circuito La definizione di circuito sequenziale implica due concetti: Il concetto di tempo Il concetto di stato

5 Macchine combinatorie Reti logiche con n ingressi x 1, x 2,, x n e m uscite y 1, y 2,, y m che realizzano la corrispondenza: y 1 = f 1 (x 1, x 2,, x n ) y m = f m (x 1, x 2,, x n ) x 1 y 1 x n y m

6 La macchina combinatoria: un esempio Il campanello 1 ingresso (il pulsante), con due possibili valori (premuto, rilasciato) 1 uscita (la suoneria), con due possibili valori (suono, nessun suono) x: pulsante y: suoneria Premuto Suono y = f(x) Rilasciato Nessun suono

7 Macchine combinatorie Ø Una macchina combinatoria è una rete logica con n ingressi (x 1, x 2,, x n ) ed m uscite (y 1, y 2,, y m ) ed è tale che ad ogni insieme di valori degli ingressi corrisponde un preciso insieme di valori delle uscite Ø Il comportamento di una rete combinatoria nxm può essere descritto tramite:» una tabella di verità in cui viene specificato il valore dell uscita per ognuna delle possibili combinazioni dei valori degli ingressi» m funzioni booleane, una per ogni uscita, ciascuna delle quali esprime il valore della corrispondente variabile di uscita in funzione delle n variabili di ingresso

8 I limiti delle macchine reali Tempo di risposta Una rete ideale reagisce istantaneamente ad ogni sollecitazione in ingresso, ovvero U(t)=ω (I(t)) In una rete reale la variazione dell uscita a fronte di una variazione degli ingressi avviene con un ritardo Δ (tempo di risposta): U(t+Δ)= ω(i(t)) I Δ a) U Ritardo puro I ω Δ U b)

9 Il Tempo di risposta Il Tempo di risposta di una macchina è il ritardo d=t f t i con il quale una variazione sull ingresso è seguita da una variazione sull uscita X1 X2 y

10 Macchine combinatorie In una macchina combinatoria i valori delle uscite dipendono esclusivamente dai valori degli ingressi macchina combinatoria ideale: tale dipendenza è istantanea macchina combinatoria reale: presenza di ritardo tra l istante in cui c è una variazione in uno degli ingressi e l istante in cui l effetto di questa variazione si manifesta sulle uscite E importante notare come ciascuna y i può essere decomposta in funzioni componenti due distinte y i possono contenere una identica funzione componente Ciò comporta, ad esempio, una potenziale diminuzione di porte elementari rispetto ad una realizzazione indipendente delle y i

11 Decodificatore (decoder) 1 su m Un decodificatore è una macchina che riceve in ingresso una parola codice (C) su n bit e presenta in uscita la sua rappresentazione decodificata (linee U 0, U N-1 ) su m=2 n bit C o U 0 C n-1 U m-1

12 Decoder 1 su 4 Esempio: decoder 1:4 B A U 0 U 1 U 2 U 3 U 0 = B. A U 1 = B. A U 2 = B. A U 3 = B. A A B

13 Composizione modulare di Decoder 4:16 1 C D A B DEC 2:4 U 0 U 1 U 2 U 3 DEC 2:4 DEC 2:4 DEC 2:4 DEC 2:4 U 0 U 1 U 2 U 3 U 0 U 1 U 2 U 3 U0 U 1 U 2 U 3 U 0 U 1 U 2 U 3 U 0 U 1 U 2 U 3 U 4 U 5 U 6 U 7 U 8 U 9 U 10 U 11 U 12 U 13 U 14 U 15

14 Encoder o codificatore Un codificatore riceve in ingresso una rappresentazione decodificata (linee x 0, x m-1 ) e fornisce in uscita una rappresentazione con un codice a lunghezza fissa di n bit L uscita è la parola codice associata a x i se x i =1 ed α=1 (abilitazione) Vincolo su ingressi: x i x j = 0 per i j x 0 x N-1 z o z m-1 α

15 Codificatore a 4 ingressi

16 z 3 = x 8 +x 9 Codificatore z 2 = x 4 +x 5 + x 6 +x 7 z 1 = x 2 +x 3 + x 6 +x 7 z 0 = x 1 +x 3 + x 5 +x 7 +x 9 cifra Espressioni ottenute considerando opportunamente le configurazioni di ingresso dont care CODICE D

17 Arbitro di priorità q Un codificatore può essere preceduto da una rete a priorità che, in caso di più ingressi contemporaneamente alti, filtra quello con priorità assegnata maggiore Rete a priorità n ingressi X i n uscite corrispondenti F i, che rappresentano gli ingressi del codificatore fra gli ingressi è definita una priorità, ad esempio: per fissare le idee «X i è prioritario su X j se i < j» L'uscita Y i è alta se e solo se X i è alto e tutti gli altri ingressi prioritari su X i sono bassi. F F F 1 2 n = = = X X X 1 2 n X X 1 n 1 X 1

18 Arbitro di priorità a 4 ingressi

19 Multiplexer lineare Un Multiplexer lineare (ML) è una macchina con: n ingressi-dati (A 0,,A n-1 ) n segnali binari di selezione (α 0,, α n-1 ), dei quali al più uno è attivo una uscita-dati B, che assume valore A i se è attivo α i neutro se nessuna delle selezioni è attiva A 0 utilizzata quando più linee devono essere convogliate verso un unica linea di uscita (bus) A n-1 MUXL B α 0 α n-1

20 Multiplexer lineare - realizzazioni B = A 0 α 0 + A 1 α A n-1 α n-1, n=4 Realizzazione I Con porte AND e OR Realizzazione II A S Uscita 0 0 z z Con porte 3-state S=1, restituisce il valore di A S=0, restituisce un alta impedenza (apre il circuito)

21 Multiplexer (indirizzabile) Multiplexer Lineare i cui segnali di abilitazione sono collegati con le uscite di un decodificatore A 0 A N-1 MUX B α 0 α N-1 C

22 Demultiplexer lineare Un Demultiplexer Lineare è una macchina con: 1 ingresso-dati B n segnali binari di selezione (α 0,, α n-1 ), dei quali al più uno è attivo n uscite-dati (A 0,,A n-1 ), con A i =B se è attivo α i neutro se nessuna delle selezioni è attiva B DMUXL α 0 α n-1 A 0 A n-1

23 Demultiplexer (indirizzabile) Demultiplexer Lineare i cui segnali di abilitazione sono collegati con le uscite di un decodificatore A 0 B DEMUX A N-1 α 0 α N-1 C

24 Multiplexer binario Se i dati A i e B sono vettori di bit, che viaggiano su un bus si parla genericamente di multiplexer o demultiplexer A 0 A n-1 MUXL B Se i dati A i e B sono singoli bit si parla di multiplexer o demultiplexer binario A 0 A n-1 α 0 α n-1 MUXL B α 0 α n- 1

25 Muxl/Dmuxl: un esempio Supponiamo di avere un centralino telefonico in cui n utenti sorgente vogliono parlare con m utenti destinazione vincolo: l utente di destinazione abilitato deve sentire solo l utente sorgente abilitato A 0 A 1 MUXL DMUXL B 0 B 1 A n Linee di sel. utente sorgente Linee di sel. utente destinaz. B m-1 L utente A 1 è abilitato a parlare con l utente B m-1

26 Half Adder r

27 Full Adder (1/2) r r

28 Full Adder (2/2) R = XYr + XYr + XY r + XYr = XY + Yr + Xr r

29 Full Adder con 2 Half Adder

30 Addizionatore binario E possibile isolare il fattore (a b) Rielaborando le precedenti espressioni è quindi possibile ottenere le seguenti espressioni per l addizionatore completo: S R = ( a b) r = H r = ab + r( a b) = G + rh

31 Addizionatore binario Pertanto, un addizionatore completo può essere ottenuto a partire da due semiaddizionatori: S = ( a b) r = H r R = a b + r ( a b) = G + r H a b HA G = a b H = a b R= G + H r r HA H r S = H r

32 Addizionatore binario: riporto Le diverse componenti dell espressione di R assumono un significato particolare: G = a b riporto generato : indica la creazione di un riporto all interno dell addizionatore binario P = H = a b riporto propagato : indica se, in presenza di un riporto in ingresso, lo stesso verrò propagato in uscita Il riporto in uscita può quindi essere espresso come R=G+Pr

33 Addizionatore binario Per il semiaddizionatore valgono le eguaglianze H = a b = d( a, b) = ab + ab G = a b Similmente per l addizionatore completo valgono le eguaglianze S = a b r = d( a, b, r) = abr + abr + abr + abr R = abr + abr + abr + abr = ab + br + ar = ab + r( a + b)

34 Addizionatori binari n i = r i non-riporto Indica assenza di riporto in ingresso K i = a i b i Riporto killed Indica che, indipendentemente dalla presenza di un riporto entrante, il riporto in uscita sarà comunque zero N i = K i + P i n i Propagazione del non-riporto Indica assenza di riporto in uscita

35 R i X i Y i S i Addizionatori seriali Usa un unico addizionatore operante sulla singola cifra Opera in momenti successivi su cifre diverse degli addendi Richiede un blocco con memoria E lento rispetto ad addizionatori che lavorano in parallelo sulle diverse cifre degli addendi r i Add - Δ mod - b

36 Addizionatore binario parallelo Opera sulle cifre degli addendi in parallelo anche se il riporto deve propagarsi attraverso l intera struttura Richiede un numero maggiore di risorse rispetto all addizionatore seriale X n - 1 Y n - 1 R n - 1 r 2 X 1 Y 1 X Y 0 0 r 1 R =c 0 Add - Add - Add - mod - b mod - b mod - b C= R n - 1 S n - 1 R n - 2 R 1 S 1 R 0 S 0

37 Addizionatore parallelo: tempo di risposta Gli addizionatori ottenuti collegando in cascata n addizionatori di cifra sono anche chiamati addizionatori a propagazione del riporto (carry-ripple o carry-propagate) ε = tempo di risposta di uno stadio Allo stadio i, il riporto uscente o è generato o è ucciso o è propagato Tempo di ritardo complessivo: Limite inferiore ε (in tutti gli stadi il riporto è generato o ucciso) Tempo di ritardo complessivo: Limite superiore nε (un riporto entrante nel primo stadio che è propagato in tutti gli stadi) Tempo di ritardo complessivo = kε (k n), dove k è la più lunga catena di condizioni di propagazione.

38 Porte di parola Porte con abilitazione: B=αA = α AND A Parola: Vettore di bit V = {v o, v 1,, v n } Porta di parola con abiitazione: α V = {α v o,, α v n } Porta generica di parola: A AND B = = {a 0 AND b 0,, a n AND b n }

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Corso di Calcolatori Elettronici I A.A. 20-202 Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Lezione 5 Prof. Roberto Canonico Università degli Studi di Napoli Federico II Facoltà di

Dettagli

Decodificatore (decoder) 1 su m

Decodificatore (decoder) 1 su m Decodificatore (decoder) 1 su m Un decodificatore è una macchina che riceve in ingresso una parola codice (C) su n bit e presenta in uscita la sua rappresentazione decodificata (linee U 0, U N-1 ) su m=2

Dettagli

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Corso di Calcolatori Elettronici I A.A. 2011-2012 Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Lezione 12 Prof. Antonio Pescapè Università degli Studi di Napoli Federico II Facoltà

Dettagli

CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I)

CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Codifica delle Informazioni T insieme delle informazioni da rappresentare E insieme

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 2. http://digilander.libero.it/rosario.cerbone

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 2. http://digilander.libero.it/rosario.cerbone LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 2 Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 2007-2008 Logica Combinatoria una rete combinatoria

Dettagli

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati Il Livello LogicoDigitale i Blocchi funzionali combinatori Circuiti integrati Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati

Dettagli

Calcolatori: Algebra Booleana e Reti Logiche

Calcolatori: Algebra Booleana e Reti Logiche Calcolatori: Algebra Booleana e Reti Logiche 1 Algebra Booleana e Variabili Logiche I fondamenti dell Algebra Booleana (o Algebra di Boole) furono delineati dal matematico George Boole, in un lavoro pubblicato

Dettagli

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche 3.1 LE PORTE LOGICHE E GLI OPERATORI ELEMENTARI 3.2 COMPORTAMENTO A REGIME E IN TRANSITORIO DEI CIRCUITI COMBINATORI I nuovi

Dettagli

ALGEBRA DELLE PROPOSIZIONI

ALGEBRA DELLE PROPOSIZIONI Università di Salerno Fondamenti di Informatica Corso di Laurea Ingegneria Corso B Docente: Ing. Giovanni Secondulfo Anno Accademico 2010-2011 ALGEBRA DELLE PROPOSIZIONI Fondamenti di Informatica Algebra

Dettagli

Macchine sequenziali

Macchine sequenziali Corso di Calcolatori Elettronici I A.A. 2010-2011 Macchine sequenziali Lezione 14 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Automa a Stati Finiti (ASF) E una prima astrazione di

Dettagli

Reti Logiche. Le reti logiche sono gli elementi architettonici di base dei calcolatori, e di tutti gli apparati per elaborazioni digitali.

Reti Logiche. Le reti logiche sono gli elementi architettonici di base dei calcolatori, e di tutti gli apparati per elaborazioni digitali. Reti Logiche Le reti logiche sono gli elementi architettonici di base dei calcolatori, e di tutti gli apparati per elaborazioni digitali. - Elaborano informazione rappresentata da segnali digitali, cioe

Dettagli

Esempi ed esercizi Aritmetica degli elaboratori e algebra di commutazione

Esempi ed esercizi Aritmetica degli elaboratori e algebra di commutazione Esempi ed esercizi Aritmetica degli elaboratori e algebra di commutazione Fondamenti di Informatica Michele Ceccarelli Università del Sannio ceccarelli@unisannio.it Angelo Ciaramella DMI-Università degli

Dettagli

Memorie ROM (Read Only Memory)

Memorie ROM (Read Only Memory) Memorie ROM (Read Only Memory) Considerando la prima forma canonica, la realizzazione di qualsiasi funzione di m variabili richiede un numero di porte AND pari al numero dei suoi mintermini e di prolungare

Dettagli

Matematica Computazionale Lezione 4: Algebra di Commutazione e Reti Logiche

Matematica Computazionale Lezione 4: Algebra di Commutazione e Reti Logiche Matematica Computazionale Lezione 4: Algebra di Commutazione e Reti Logiche Docente: Michele Nappi mnappi@unisa.it www.dmi.unisa.it/people/nappi 089-963334 ALGEBRA DI COMMUTAZIONE Lo scopo di questa algebra

Dettagli

Algebra Booleana 1 ALGEBRA BOOLEANA: VARIABILI E FUNZIONI LOGICHE

Algebra Booleana 1 ALGEBRA BOOLEANA: VARIABILI E FUNZIONI LOGICHE Algebra Booleana 1 ALGEBRA BOOLEANA: VARIABILI E FUNZIONI LOGICHE Andrea Bobbio Anno Accademico 2000-2001 Algebra Booleana 2 Calcolatore come rete logica Il calcolatore può essere visto come una rete logica

Dettagli

Sintesi Combinatoria Uso di componenti diversi dagli operatori elementari. Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-08

Sintesi Combinatoria Uso di componenti diversi dagli operatori elementari. Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-08 Sintesi Combinatoria Uso di componenti diversi dagli operatori elementari Mariagiovanna Sami Corso di reti Logiche 8 Anno 27-8 8 Quali componenti, se non AND e OR (e NOT )? Si è detto inizialmente che

Dettagli

Macchine a stati finiti. Sommario. Sommario. M. Favalli. 5th June 2007

Macchine a stati finiti. Sommario. Sommario. M. Favalli. 5th June 2007 Sommario Macchine a stati finiti M. Favalli 5th June 27 4 Sommario () 5th June 27 / 35 () 5th June 27 2 / 35 4 Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante:

Dettagli

Lezione 7 Sommatori e Moltiplicatori

Lezione 7 Sommatori e Moltiplicatori Architettura degli Elaboratori e delle Reti Lezione 7 Sommatori e Moltiplicatori Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 7 1/36 Sommario!

Dettagli

Laurea Specialistica in Informatica

Laurea Specialistica in Informatica Corso di Laurea in FISICA Laurea Specialistica in Informatica Fisica dell informazione 1 Elementi di Architettura degli elaboratori Prof. Luca Gammaitoni Informazioni sul corso: www.fisica.unipg unipg.it/gammaitoni/fisinfoit/gammaitoni/fisinfo

Dettagli

Macchine a stati finiti. Sommario. Sommario. M. Favalli. Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante:

Macchine a stati finiti. Sommario. Sommario. M. Favalli. Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante: Sommario Macchine a stati finiti M. Favalli Engineering Department in Ferrara 4 Sommario (ENDIF) Analisiesintesideicircuitidigitali / 35 (ENDIF) Analisiesintesideicircuitidigitali 2 / 35 4 Le macchine

Dettagli

Linguaggio del calcolatore. Algebra di Boole AND, OR, NOT. Notazione. And e or. Circuiti e reti combinatorie. Appendice A + dispense

Linguaggio del calcolatore. Algebra di Boole AND, OR, NOT. Notazione. And e or. Circuiti e reti combinatorie. Appendice A + dispense Linguaggio del calcolatore Circuiti e reti combinatorie ppendice + dispense Solo assenza o presenza di tensione: o Tante componenti interconnesse che si basano su e nche per esprimere concetti complessi

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Macchine sequenziali sincrone. Macchine sincrone

Macchine sequenziali sincrone. Macchine sincrone Corso di Calcolatori Elettronici I A.A. 2010-2011 Macchine sequenziali sincrone Lezione 27 Prof. Roberto Canonico Università degli Studi di Napoli Federico II Facoltà di Ingegneria Corso di Laurea in Ingegneria

Dettagli

Calcolatori Elettronici B a.a. 2006/2007

Calcolatori Elettronici B a.a. 2006/2007 Calcolatori Elettronici B a.a. 2006/2007 RETI LOGICHE: RICHIAMI Massimiliano Giacomin 1 Due tipi di unità funzionali Elementi di tipo combinatorio: - valori di uscita dipendono solo da valori in ingresso

Dettagli

Algebra Di Boole. Definiamo ora che esiste un segnale avente valore opposto di quello assunto dalla variabile X.

Algebra Di Boole. Definiamo ora che esiste un segnale avente valore opposto di quello assunto dalla variabile X. Algebra Di Boole L algebra di Boole è un ramo della matematica basato sul calcolo logico a due valori di verità (vero, falso). Con alcune leggi particolari consente di operare su proposizioni allo stesso

Dettagli

Macchine a stati finiti G. MARSELLA UNIVERSITÀ DEL SALENTO

Macchine a stati finiti G. MARSELLA UNIVERSITÀ DEL SALENTO Macchine a stati finiti 1 G. MARSELLA UNIVERSITÀ DEL SALENTO Introduzione Al più alto livello di astrazione il progetto logico impiega un modello, la cosiddetta macchina a stati finiti, per descrivere

Dettagli

Rappresentazione dei numeri in un calcolatore

Rappresentazione dei numeri in un calcolatore Corso di Calcolatori Elettronici I A.A. 2010-2011 Rappresentazione dei numeri in un calcolatore Lezione 2 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Rappresentazione dei numeri

Dettagli

Lezione 2 Circuiti logici. Mauro Piccolo piccolo@di.unito.it

Lezione 2 Circuiti logici. Mauro Piccolo piccolo@di.unito.it Lezione 2 Circuiti logici Mauro Piccolo piccolo@di.unito.it Bit e configurazioni di bit Bit: una cifra binaria (binary digit) 0 oppure 1 Sequenze di bit per rappresentare l'informazione Numeri Caratteri

Dettagli

Appunti di informatica. Lezione 2 anno accademico 2015-2016 Mario Verdicchio

Appunti di informatica. Lezione 2 anno accademico 2015-2016 Mario Verdicchio Appunti di informatica Lezione 2 anno accademico 2015-2016 Mario Verdicchio Sistema binario e logica C è un legame tra i numeri binari (0,1) e la logica, ossia la disciplina che si occupa del ragionamento

Dettagli

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.7. Il moltiplicatore binario e il ciclo di base di una CPU

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.7. Il moltiplicatore binario e il ciclo di base di una CPU Lezione n.7 Il moltiplicatore binario e il ciclo di base di una CPU 1 SOMMARIO Architettura del moltiplicatore Architettura di base di una CPU Ciclo principale di base di una CPU Riprendiamo l analisi

Dettagli

I.I.S. Primo Levi Badia Polesine A.S. 2012-2013

I.I.S. Primo Levi Badia Polesine A.S. 2012-2013 LGEBR DI BOOLE I.I.S. Primo Levi Badia Polesine.S. 2012-2013 Nel secolo scorso il matematico e filosofo irlandese Gorge Boole (1815-1864), allo scopo di procurarsi un simbolismo che gli consentisse di

Dettagli

Reti sequenziali sincrone

Reti sequenziali sincrone Reti sequenziali sincrone Un approccio strutturato (7.1-7.3, 7.5-7.6) Modelli di reti sincrone Analisi di reti sincrone Descrizioni e sintesi di reti sequenziali sincrone Sintesi con flip-flop D, DE, T

Dettagli

Amplificatori Audio di Potenza

Amplificatori Audio di Potenza Amplificatori Audio di Potenza Un amplificatore, semplificando al massimo, può essere visto come un oggetto in grado di aumentare il livello di un segnale. Ha quindi, generalmente, due porte: un ingresso

Dettagli

Introduzione ai microcontrollori

Introduzione ai microcontrollori Introduzione ai microcontrollori L elettronica digitale nasce nel 1946 con il primo calcolatore elettronico digitale denominato ENIAC e composto esclusivamente di circuiti a valvole, anche se negli anni

Dettagli

Codifica binaria dei numeri relativi

Codifica binaria dei numeri relativi Codifica binaria dei numeri relativi Introduzione All interno di un calcolatore, è possibile utilizzare solo 0 e 1 per codificare qualsiasi informazione. Nel caso dei numeri, non solo il modulo ma anche

Dettagli

Informatica B a.a 2005/06 (Meccanici 4 squadra) PhD. Ing. Michele Folgheraiter

Informatica B a.a 2005/06 (Meccanici 4 squadra) PhD. Ing. Michele Folgheraiter Informatica B a.a 2005/06 (Meccanici 4 squadra) Scaglione: da PO a ZZZZ PhD. Ing. Michele Folgheraiter Architettura del Calcolatore Macchina di von Neumann Il calcolatore moderno è basato su un architettura

Dettagli

Transitori del primo ordine

Transitori del primo ordine Università di Ferrara Corso di Elettrotecnica Transitori del primo ordine Si consideri il circuito in figura, composto da un generatore ideale di tensione, una resistenza ed una capacità. I tre bipoli

Dettagli

Comparatori. Comparatori di uguaglianza

Comparatori. Comparatori di uguaglianza Comparatori Scopo di un circuito comparatore é il confronto tra due codifiche binarie. Il confronto può essere effettuato per verificare l'uguaglianza oppure una relazione d'ordine del tipo "maggiore",

Dettagli

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.6. Unità di controllo microprogrammata

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.6. Unità di controllo microprogrammata Lezione n.6 Unità di controllo microprogrammata 1 Sommario Unità di controllo microprogrammata Ottimizzazione, per ottimizzare lo spazio di memoria occupato Il moltiplicatore binario Esempio di architettura

Dettagli

Calcolatori Elettronici A a.a. 2008/2009. RETI SEQUENZIALI: ESERCIZI Massimiliano Giacomin

Calcolatori Elettronici A a.a. 2008/2009. RETI SEQUENZIALI: ESERCIZI Massimiliano Giacomin Calcolatori Elettronici A a.a. 2008/2009 RETI SEQUENZIALI: ESERCIZI Massimiliano Giacomin 1 Esercizio 1: implementazione di contatori Un contatore è un dispositivo sequenziale che aggiorna periodicamente

Dettagli

Le Mappe di Karnaugh.

Le Mappe di Karnaugh. Le Mappe di Karnaugh. Introduzione Le mappe di Karnaugh rappresentano un metodo grafico-sistematico per la semplificazione di qualsiasi funzione booleana. Questo metodo si basa su poche regole e se applicate

Dettagli

Descrizione VHDL di componenti combinatori

Descrizione VHDL di componenti combinatori Descrizione VHDL di componenti combinatori 5 giugno 2003 1 Decoder Il decoder è un componente dotato di N ingressi e 2 N uscite. Le uscite sono poste tutte a 0 tranne quella corrispondente al numero binario

Dettagli

LABORATORIO DI SISTEMI

LABORATORIO DI SISTEMI ALUNNO: Fratto Claudio CLASSE: IV B Informatico ESERCITAZIONE N : 1 LABORATORIO DI SISTEMI OGGETTO: Progettare e collaudare un circuito digitale capace di copiare le informazioni di una memoria PROM in

Dettagli

Macchine a Stati finiti

Macchine a Stati finiti Macchine a Stati finiti Prof. Alberto Borghese Dipartimento di Scienze dell nformazione borghese@di.unimi.it Università degli Studi di Milano Riferimento al Patterson: Sezione B.0 /3 Sommario Macchine

Dettagli

Testi di Esercizi e Quesiti 1

Testi di Esercizi e Quesiti 1 Architettura degli Elaboratori, 2009-2010 Testi di Esercizi e Quesiti 1 1. Una rete logica ha quattro variabili booleane di ingresso a 0, a 1, b 0, b 1 e due variabili booleane di uscita z 0, z 1. La specifica

Dettagli

Standard per Reti a Commutazione di Pacchetto Prof. Vincenzo Auletta Università degli studi di Salerno Laurea in Informatica

Standard per Reti a Commutazione di Pacchetto Prof. Vincenzo Auletta Università degli studi di Salerno Laurea in Informatica I semestre 03/04 Standard per Reti a Commutazione di Pacchetto Prof. Vincenzo Auletta auletta@dia.unisa.it http://www.dia.unisa.it/professori/auletta/ Standard per Reti a Pacchetto Principali standard

Dettagli

Obiettivi dell Analisi Numerica. Avviso. Risoluzione numerica di un modello. Analisi Numerica e Calcolo Scientifico

Obiettivi dell Analisi Numerica. Avviso. Risoluzione numerica di un modello. Analisi Numerica e Calcolo Scientifico M. Annunziato, DIPMAT Università di Salerno - Queste note non sono esaustive ai fini del corso p. 3/43 M. Annunziato, DIPMAT Università di Salerno - Queste note non sono esaustive ai fini del corso p.

Dettagli

Componenti combinatori

Componenti combinatori Componenti combinatori Reti combinatorie particolari (5.., 5.3-5.8, 5.) Reti logiche per operazioni aritmetiche Decoder ed encoder Multiplexer Dispositivi programmabili: PROM e PLA Reti combinatorie particolari

Dettagli

2.1 Definizione di applicazione lineare. Siano V e W due spazi vettoriali su R. Un applicazione

2.1 Definizione di applicazione lineare. Siano V e W due spazi vettoriali su R. Un applicazione Capitolo 2 MATRICI Fra tutte le applicazioni su uno spazio vettoriale interessa esaminare quelle che mantengono la struttura di spazio vettoriale e che, per questo, vengono dette lineari La loro importanza

Dettagli

Laboratorio di Architettura degli Elaboratori - A.A. 2012/13

Laboratorio di Architettura degli Elaboratori - A.A. 2012/13 Università di Udine - Facoltà di Scienze Matematiche, Fisiche e Naturali Corso di Laurea in Informatica Laboratorio di Architettura degli Elaboratori - A.A. 2012/13 Circuiti logici, lezione 1 Sintetizzare

Dettagli

Logica e codifica binaria dell informazione

Logica e codifica binaria dell informazione Politecnico di Milano Corsi di Laurea in Ingegneria Matematica e Ingegneria Fisica Dipartimento di Elettronica ed Informazione Logica e codifica binaria dell informazione Anno Accademico 2002 2003 L. Muttoni

Dettagli

Flip-flop, registri, la macchina a stati finiti

Flip-flop, registri, la macchina a stati finiti Architettura degli Elaboratori e delle Reti Lezione 9 Flip-flop, registri, la macchina a stati finiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell nformazione Università degli Studi di

Dettagli

Reti combinatorie: Codificatori

Reti combinatorie: Codificatori Reti combinatorie: Codificatori P. Marincola (Rev..2) Come si ricorderà, i decodificatori hanno essenzialmente il compito di convertire un codice binario a n bit in un codice -su-m, dovem =2 n. In molte

Dettagli

Informatica. Rappresentazione dei numeri Numerazione binaria

Informatica. Rappresentazione dei numeri Numerazione binaria Informatica Rappresentazione dei numeri Numerazione binaria Sistemi di numerazione Non posizionali: numerazione romana Posizionali: viene associato un peso a ciascuna posizione all interno della rappresentazione

Dettagli

Fasi di creazione di un programma

Fasi di creazione di un programma Fasi di creazione di un programma 1. Studio Preliminare 2. Analisi del Sistema 6. Manutenzione e Test 3. Progettazione 5. Implementazione 4. Sviluppo 41 Sviluppo di programmi Per la costruzione di un programma

Dettagli

Logica binaria. Porte logiche.

Logica binaria. Porte logiche. Logica binaria Porte logiche. Le porte logiche sono gli elementi fondamentali su cui si basa tutta la logica binaria dei calcolatori. Ricevono in input uno, due (o anche più) segnali binari in input, e

Dettagli

Complemento al corso di Fondamenti di Informatica I corsi di laurea in ingegneria, settore dell informazione Università la Sapienza Consorzio Nettuno

Complemento al corso di Fondamenti di Informatica I corsi di laurea in ingegneria, settore dell informazione Università la Sapienza Consorzio Nettuno Rappresentazione di numeri Complemento al corso di Fondamenti di Informatica I corsi di laurea in ingegneria, settore dell informazione Università la Sapienza Consorzio Nettuno Un numero e un entità teorica,

Dettagli

Lezione 2 OPERAZIONI ARITMETICHE E LOGICHE ARCHITETTURA DI UN ELABORATORE. Lez2 Informatica Sc. Giuridiche Op. aritmetiche/logiche arch.

Lezione 2 OPERAZIONI ARITMETICHE E LOGICHE ARCHITETTURA DI UN ELABORATORE. Lez2 Informatica Sc. Giuridiche Op. aritmetiche/logiche arch. Lezione 2 OPERAZIONI ARITMETICHE E LOGICHE ARCHITETTURA DI UN ELABORATORE Comunicazione importante dalla prossima settimana, la lezione del venerdì si terrà: dalle 15:00 alle 17.15 in aula 311 l orario

Dettagli

Sistemi di Numerazione

Sistemi di Numerazione Fondamenti di Informatica per Meccanici Energetici - Biomedici 1 Sistemi di Numerazione Sistemi di Numerazione I sistemi di numerazione sono abitualmente posizionali. Gli elementi costitutivi di un sistema

Dettagli

Algoritmi e strutture dati. Codici di Huffman

Algoritmi e strutture dati. Codici di Huffman Algoritmi e strutture dati Codici di Huffman Memorizzazione dei dati Quando un file viene memorizzato, esso va memorizzato in qualche formato binario Modo più semplice: memorizzare il codice ASCII per

Dettagli

Esercitazione di Calcolatori Elettronici Ing. Battista Biggio. Corso di Laurea in Ingegneria Elettronica. Esercitazione 1 (Capitolo 2) Reti Logiche

Esercitazione di Calcolatori Elettronici Ing. Battista Biggio. Corso di Laurea in Ingegneria Elettronica. Esercitazione 1 (Capitolo 2) Reti Logiche Esercitazione di Calcolatori Elettronici Ing. Battista Biggio Corso di Laurea in Ingegneria Elettronica Esercitazione 1 (Capitolo 2) Reti Logiche Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie

Dettagli

Algebra di Boole. Le operazioni, nell algebra booleana sono basate su questi tre operatori: AND ( ), OR ( + ),NOT ( )

Algebra di Boole. Le operazioni, nell algebra booleana sono basate su questi tre operatori: AND ( ), OR ( + ),NOT ( ) Algebra di Boole L algebra di Boole prende il nome da George Boole, matematico inglese (1815-1864), che pubblicò un libro nel 1854, nel quale vennero formulati i principi dell'algebra oggi conosciuta sotto

Dettagli

Algebra di Boole e reti logiche. Giovedì 8 ottobre 2015

Algebra di Boole e reti logiche. Giovedì 8 ottobre 2015 Algebra di Boole e reti logiche Giovedì 8 ottobre 2015 Punto della situazione Abbiamo visto le varie rappresentazioni dei numeri in binario e in altre basi e la loro aritmetica Adesso vedremo la logica

Dettagli

2. Codifica dell informazione

2. Codifica dell informazione 2. Codifica dell informazione Codifica Una codifica è una regola per associare in modo univoco i valori di un dato da codificare con sequenze di simboli. La corrispondenza definita dalla codifica è arbitraria,

Dettagli

Panasonic. KX-TDA Hybrid IP-PBX Systems Integrazione GSM Gruppi Ring ICD e WXDP con GSM (occorre SD Card Enhanced)

Panasonic. KX-TDA Hybrid IP-PBX Systems Integrazione GSM Gruppi Ring ICD e WXDP con GSM (occorre SD Card Enhanced) Panasonic KX-TDA Hybrid IP-PBX Systems Integrazione GSM Gruppi Ring ICD e WXDP con GSM (occorre SD Card Enhanced) Centrali Telefoniche KX-TDA 15/30/100/200/600NE Informazione Tecnica N 037 Panasonic Italia

Dettagli

x y z F x y z F 0 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 1 F = x z + y z + yz + xyz G = wyz + vw z + vwy + vwz + v w y z Sommario

x y z F x y z F 0 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 1 F = x z + y z + yz + xyz G = wyz + vw z + vwy + vwz + v w y z Sommario Esercitazione di Calcolatori Elettronici Prof. Gian Luca Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

Informatica per la comunicazione" - lezione 7 -

Informatica per la comunicazione - lezione 7 - Informatica per la comunicazione - lezione 7 - Campionamento La codifica dei suoni si basa sulla codifica delle onde che li producono, a sua volta basata su una procedura chiamata campionamento.! Il campionamento

Dettagli

Università degli Studi di Cassino Corso di Fondamenti di Informatica Codifica di dati e istruzioni. Anno Accademico 2010/2011 Francesco Tortorella

Università degli Studi di Cassino Corso di Fondamenti di Informatica Codifica di dati e istruzioni. Anno Accademico 2010/2011 Francesco Tortorella Corso di Fondamenti di Informatica Codifica di dati e istruzioni Anno Accademico 2010/2011 Francesco Tortorella La codifica dei dati e delle istruzioni La più piccola unità di informazione memorizzabile

Dettagli

Parte 1. Vettori di bit - AA. 2012/13 1.1

Parte 1. Vettori di bit - AA. 2012/13 1.1 1.1 Parte 1 Vettori di bit 1.2 Notazione posizionale Ogni cifra assume un significato diverso a seconda della posizione in cui si trova Rappresentazione di un numero su n cifre in base b: Posizioni a n

Dettagli

4. Operazioni binarie, gruppi e campi.

4. Operazioni binarie, gruppi e campi. 1 4. Operazioni binarie, gruppi e campi. 4.1 Definizione. Diremo - operazione binaria ovunque definita in A B a valori in C ogni funzione f : A B C - operazione binaria ovunque definita in A a valori in

Dettagli

FONDAMENTI di INFORMATICA L. Mezzalira

FONDAMENTI di INFORMATICA L. Mezzalira FONDAMENTI di INFORMATICA L. Mezzalira Possibili domande 1 --- Caratteristiche delle macchine tipiche dell informatica Componenti hardware del modello funzionale di sistema informatico Componenti software

Dettagli

ESEMPIO 1: eseguire il complemento a 10 di 765

ESEMPIO 1: eseguire il complemento a 10 di 765 COMPLEMENTO A 10 DI UN NUMERO DECIMALE Sia dato un numero N 10 in base 10 di n cifre. Il complemento a 10 di tale numero (N ) si ottiene sottraendo il numero stesso a 10 n. ESEMPIO 1: eseguire il complemento

Dettagli

Sommario. Addizione naturale

Sommario. Addizione naturale Sommario Introduzione Rappresentazione dei numeri interi positivi Rappresentazione dei numeri interi Operazioni aritmetiche Modulo e segno Addizione e sottrazione urale Addizione e sottrazione in complemento

Dettagli

Ottimizazione vincolata

Ottimizazione vincolata Ottimizazione vincolata Ricordiamo alcuni risultati provati nella scheda sulla Teoria di Dini per una funzione F : R N+M R M di classe C 1 con (x 0, y 0 ) F 1 (a), a = (a 1,, a M ), punto in cui vale l

Dettagli

Circuiti sequenziali e elementi di memoria

Circuiti sequenziali e elementi di memoria Il Livello Logicoigitale I circuiti sequenziali Corso ACSO prof. Cristina SILVANO Politecnico di Milano Sommario Circuiti sequenziali e elementi di memoria Bistabile SR asincrono Temporizzazione e clock

Dettagli

Applicazioni lineari

Applicazioni lineari Applicazioni lineari Esempi di applicazioni lineari Definizione. Se V e W sono spazi vettoriali, una applicazione lineare è una funzione f: V W tale che, per ogni v, w V e per ogni a, b R si abbia f(av

Dettagli

Macchine combinatorie: progettazione. Macchine combinatorie

Macchine combinatorie: progettazione. Macchine combinatorie Corso di Calcolatori Elettronici I A.A. 011-01 Macchine combinatorie: progettazione Lezione 13 Prof. Roberto Canonico Università degli Studi di Napoli Federico II Facoltà di Ingegneria Corso di Laurea

Dettagli

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Fondamenti di calcolo booleano

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Fondamenti di calcolo booleano Breve introduzione storica Nel 1854, il prof. Boole pubblica un trattato ormai famosissimo: Le leggi del pensiero. Obiettivo finale del trattato è di far nascere la matematica dell intelletto umano, un

Dettagli

Ciclo di Istruzione. Ciclo di Istruzione. Controllo. Ciclo di Istruzione (diagramma di flusso) Lezione 5 e 6

Ciclo di Istruzione. Ciclo di Istruzione. Controllo. Ciclo di Istruzione (diagramma di flusso) Lezione 5 e 6 Ciclo di Istruzione Può essere suddiviso in 4 tipi di sequenze di microoperazioni (cioè attività di calcolo aritmetico/logico, trasferimento e memorizzazione dei dati), non tutte necessariamente da realizzare

Dettagli

ARCHITETTURE MICROPROGRAMMATE. 1. Necessità di un architettura microprogrammata 1. Cos è un architettura microprogrammata? 4

ARCHITETTURE MICROPROGRAMMATE. 1. Necessità di un architettura microprogrammata 1. Cos è un architettura microprogrammata? 4 ARCHITETTURE MICROPROGRAMMATE. 1 Necessità di un architettura microprogrammata 1 Cos è un architettura microprogrammata? 4 Struttura di una microistruzione. 5 Esempi di microprogrammi 9 Esempio 1 9 Esempio

Dettagli

I sistemi di numerazione

I sistemi di numerazione I sistemi di numerazione 01-INFORMAZIONE E SUA RAPPRESENTAZIONE Sia dato un insieme finito di caratteri distinti, che chiameremo alfabeto. Utilizzando anche ripetutamente caratteri di un alfabeto, si possono

Dettagli

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0 Rappresentazione dei numeri I numeri che siamo abituati ad utilizzare sono espressi utilizzando il sistema di numerazione decimale, che si chiama così perché utilizza 0 cifre (0,,2,3,4,5,6,7,8,9). Si dice

Dettagli

ESERCITAZIONI PRATICHE: Ø Creazione di un decoder BCD/DEC con porte logiche. Ø Esercitazione con decoder 4511 e display 7 segmenti.

ESERCITAZIONI PRATICHE: Ø Creazione di un decoder BCD/DEC con porte logiche. Ø Esercitazione con decoder 4511 e display 7 segmenti. BCD 7 SEGMENTI Il display BCD 7 segmenti è un visualizzatore di numeri che possono andare da zero a nove, ed è un dispositivo optoelettrico, cioè fornisce luce all applicazione di tensione ai suoi capi.

Dettagli

Flip-flop Macchine sequenziali

Flip-flop Macchine sequenziali Flip-flop Macchine sequenziali Introduzione I circuiti digitali possono essere così classificati Circuiti combinatori Il valore delle uscite ad un determinato istante dipende unicamente dal valore degli

Dettagli

Una scuola vuole monitorare la potenza elettrica continua di un pannello fotovoltaico

Una scuola vuole monitorare la potenza elettrica continua di un pannello fotovoltaico ESAME DI STATO PER ISTITUTI PROFESSIONALI Corso di Ordinamento Indirizzo: Tecnico delle industrie elettroniche Tema di: Elettronica, telecomunicazioni ed applicazioni Gaetano D Antona Il tema proposto

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Circuiti combinatori slide a cura di Salvatore Orlando, Andrea Torsello, Marta Simeoni 1 Circuiti integrati I circuiti logici sono realizzati come IC (circuiti integrati)! realizzati su chip di silicio

Dettagli

Informazione analogica e digitale

Informazione analogica e digitale L informazione L informazione si può: rappresentare elaborare gestire trasmettere reperire L informatica offre la possibilità di effettuare queste operazioni in modo automatico. Informazione analogica

Dettagli

Strutturazione logica dei dati: i file

Strutturazione logica dei dati: i file Strutturazione logica dei dati: i file Informazioni più complesse possono essere composte a partire da informazioni elementari Esempio di una banca: supponiamo di voler mantenere all'interno di un computer

Dettagli

Sistema operativo: Gestione della memoria

Sistema operativo: Gestione della memoria Dipartimento di Elettronica ed Informazione Politecnico di Milano Informatica e CAD (c.i.) - ICA Prof. Pierluigi Plebani A.A. 2008/2009 Sistema operativo: Gestione della memoria La presente dispensa e

Dettagli

Note a cura di M. Martellini e M. Zeni

Note a cura di M. Martellini e M. Zeni Università dell Insubria Corso di laurea Scienze Ambientali FISICA GENERALE Lezione 6 Energia e Lavoro Note a cura di M. Martellini e M. Zeni Queste note sono state in parte preparate con immagini tratte

Dettagli

Complementi di Analisi per Informatica *** Capitolo 2. Numeri Complessi. e Circuiti Elettrici. a Corrente Alternata. Sergio Benenti 7 settembre 2013

Complementi di Analisi per Informatica *** Capitolo 2. Numeri Complessi. e Circuiti Elettrici. a Corrente Alternata. Sergio Benenti 7 settembre 2013 Complementi di Analisi per nformatica *** Capitolo 2 Numeri Complessi e Circuiti Elettrici a Corrente Alternata Sergio Benenti 7 settembre 2013? ndice 2 Circuiti elettrici a corrente alternata 1 21 Circuito

Dettagli

LEZIONE 23. Esempio 23.1.3. Si consideri la matrice (si veda l Esempio 22.2.5) A = 1 2 2 3 3 0

LEZIONE 23. Esempio 23.1.3. Si consideri la matrice (si veda l Esempio 22.2.5) A = 1 2 2 3 3 0 LEZIONE 23 231 Diagonalizzazione di matrici Abbiamo visto nella precedente lezione che, in generale, non è immediato che, data una matrice A k n,n con k = R, C, esista sempre una base costituita da suoi

Dettagli

Sicurezza e rispetto della privacy, finalmente non in conflitto.

Sicurezza e rispetto della privacy, finalmente non in conflitto. Aylook e Privacy pag. 1 di 7 aylook, il primo sistema di videoregistrazione ibrida Privacy Compliant in grado di ottemperare alle richieste in materia di rispetto della privacy e dei diritti dei lavoratori.

Dettagli

TECNICHE DI SIMULAZIONE

TECNICHE DI SIMULAZIONE TECNICHE DI SIMULAZIONE INTRODUZIONE Francesca Mazzia Dipartimento di Matematica Università di Bari a.a. 2004/2005 TECNICHE DI SIMULAZIONE p. 1 Introduzione alla simulazione Una simulazione è l imitazione

Dettagli

ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016

ISTITUTO ISTRUZIONE SUPERIORE L. EINAUDI ALBA ANNO SCOLASTICO 2015/2016 ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016 CLASSE 3 I Discip lina: Elettrotecnica ed Elettronica PROGETTAZIONE DIDATTICA ANNUALE Elaborata e sottoscritta dai docenti: cognome

Dettagli

Corso di Reti Logiche. Macchine Sequenziali. Dipartimento di Informatica e Sistemistica Università degli Studi di Napoli Federico II

Corso di Reti Logiche. Macchine Sequenziali. Dipartimento di Informatica e Sistemistica Università degli Studi di Napoli Federico II Corso di Reti Logiche Macchine Sequenziali Dipartimento di Informatica e Sistemistica Università degli Studi di Napoli Federico II 1 Macchine sequenziali Š Includono il fattore tempo nel funzionamento

Dettagli

FUNZIONE. Si scrive: A B f: A B x y=f(x) (si legge: f funzione da A in B) x f y= f(x)

FUNZIONE. Si scrive: A B f: A B x y=f(x) (si legge: f funzione da A in B) x f y= f(x) 1 FUNZIONE Dati gli insiemi A e B, si definisce funzione da A in B una relazione o legge o corrispondenza che ad ogni elemento di A associa uno ed un solo elemento di B. Si scrive: A B f: A B f() (si legge:

Dettagli

Alcune nozioni di base di Logica Matematica

Alcune nozioni di base di Logica Matematica Alcune nozioni di base di Logica Matematica Ad uso del corsi di Programmazione I e II Nicola Galesi Dipartimento di Informatica Sapienza Universitá Roma November 1, 2007 Questa é una breve raccolta di

Dettagli